03_Begin块

declare
       v_i number := 1;
       v_j number := 2;
begin
  --begin块中可以再嵌套Begin,类似try/catch中再try/catch的原理。
  begin
    dbms_output.put_line('Begin嵌套块中的内容');
    
    if v_j = v_j then
       dbms_output.put_line('相等');
    end if;
  exception
    when others then
      null;
  end;
end;

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
module seg( input wire clk , //100MHz input wire rst_n , //low valid input wire [9:0] data_in , //待显示数据 output reg [6:0] hex1 , // -共阳极,低电平有效 output reg [6:0] hex2 , // - output reg [6:0] hex3 // - ); //parameter define localparam NUM_0 = 8'b1100_0000, NUM_1 = 8'b1111_1001, NUM_2 = 8'b1010_0100, NUM_3 = 8'b1011_0000, NUM_4 = 8'b1001_1001, NUM_5 = 8'b1001_0010, NUM_6 = 8'b1000_0010, NUM_7 = 8'b1111_1000, NUM_8 = 8'b1000_0000, NUM_9 = 8'b1001_0000, NUM_A = 8'b1000_1000, NUM_B = 8'b1000_0011, NUM_C = 8'b1100_0110, NUM_D = 8'b1010_0001, NUM_E = 8'b1000_0110, NUM_F = 8'b1000_1110, ALL_LIGHT = 8'b0000_0000, LIT_OUT = 8'b1111_1111; //reg 、wire define reg [3:0] cm_hund ;//100cm reg [3:0] cm_ten ;//10cm reg [3:0] cm_unit ;//1cm always @(posedge clk or negedge rst_n)begin if(!rst_n)begin cm_hund <= 'd0; cm_ten <= 'd0; cm_unit <= 'd0; end else begin cm_hund <= data_in / 10 ** 5; cm_ten <= data_in / 10 ** 4 % 10; cm_unit <= data_in / 10 ** 3 % 10; end end always @(posedge clk or negedge rst_n)begin if(!rst_n)begin hex1 <= ALL_LIGHT; hex2 <= ALL_LIGHT; hex3 <= ALL_LIGHT; end else begin hex1 <= hex_data(cm_unit); hex2 <= hex_data(cm_ten); hex3 <= hex_data(cm_hund); end end //always end function [6:0] hex_data; //函数不含时序逻辑相关 input [03:00] data_i;//至少一个输入 begin case(data_i) 'd0:hex_data = NUM_0; 'd1:hex_data = NUM_1; 'd2:hex_data = NUM_2; 'd3:hex_data = NUM_3; 'd4:hex_data = NUM_4; 'd5:hex_data = NUM_5; 'd6:hex_data = NUM_6; 'd7:hex_data = NUM_7; 'd8:hex_data = NUM_8; 'd9:hex_data = NUM_9; default:hex_data = ALL_LIGHT; endcase end endfunction endmodule
最新发布
06-09

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值