gvim模板_vimrc配置文件

gvim模板

_vimrc 可以使用的模板代码文件,已解决中文显示乱码,增加了文件头标志。

set nocompatible
source $VIMRUNTIME/vimrc_example.vim
source $VIMRUNTIME/mswin.vim
source $VIMRUNTIME/colors/desert.vim
behave mswin

:set showmatch
:set matchtime=2 
set nu!
set sw=4
set ts=4
set et

set guifont=新宋体:h16:cGB2312

filetype indent on
:ab Zhushi /*********注释开始****************<Enter><Enter>**********注释结束****************/
:ab Shixu always  @(posedge clk or negedge rst_n)begin<Enter>if(rst_n==1'b0)begin<Enter>end<Enter>else begin<Enter>end<Enter>end
:ab Zuhe always  @(*)begin<Enter>end
:ab Canshu  /*********注释开始****************<Enter>参数定义,规范要求,verilog内的用到的数字,都使用参数表示。<Enter>参数信号全部大写<Enter>**********注释结束****************/
:ab Module module module_name(<Enter>clk    ,<Enter>rst_n  ,<Enter>//其他信号,举例dout<Enter>dout<Enter>);<Enter><Enter>//参数定义<Enter>parameter      DATA_W =         8;<Enter><Enter>//输入信号定义<Enter>input               clk    ;<Enter>input               rst_n  ;<Enter><Enter>//输出信号定义<Enter>output[DATA_W-1:0]  dout   ;<Enter><Enter>//输出信号reg定义<Enter>reg   [DATA_W-1:0]  dout   ;<Enter><Enter>//中间信号定义<Enter>reg                 signal1;<Enter><Enter>//组合逻辑写法<Enter>always@(*)begin<Enter>end<Enter><Enter>//时序逻辑写法<Enter>always@(posedge clk or negedge rst_n)begin<Enter>if(rst_n==1'b0)begin<Enter>end<Enter>else begin<Enter>end<Enter>end<Enter><Enter>endmodule
:ab Head /************************************************************************************<Enter>本代码由GUPN设计和制作。<Enter><Enter>*************************************************************************************/
:ab Test `timescale 1 ns/1 ns<Enter><Enter>module testbench_name();<Enter><Enter>//时钟和复位<Enter>reg clk  ;<Enter>reg rst_n;<Enter><Enter>//uut的输入信号<Enter>reg[3:0]  din0  ;<Enter>reg       din1  ;<Enter>......<Enter><Enter>//uut的输出信号<Enter>wire      dout0;<Enter>wire[4:0] dout1;<Enter>......<Enter><Enter>//时钟周期,单位为ns,可在此修改时钟周期。<Enter>parameter CYCLE    = 20;<Enter><Enter>//复位时间,此时表示复位3个时钟周期的时间。<Enter>parameter RST_TIME = 3 ;<Enter><Enter>//待测试的模块例化<Enter>module_nam
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值