Vivado 使用过程中问题总结

关注+星标公众,及时获取更多技术分享~ 

 作者 | 冰茶奥利奥

微信公众号 | 嵌入式电子创客街

目录

情况1:在vivado进行一个行为级别仿真时,运行到simulate 步骤时 弹出了如下提示:

情况2:综合时:ambiguous clock in event control

情况3:PLL布线时Unsupported PLLE2_ADV connectivity.......

情况3 :new no such file error in Vivado 2020.2

情况4: simulate 仿真模式下断点打不上

情况5:移动路径后vivado编译报错 [Synth 8-439]


情况1:在vivado进行一个行为级别仿真时,运行到simulate 步骤时 弹出了如下提示:

 [USF-XSim-62] 'simulate' step failed with errors. Please check the Tcl console or log files for more information.
 [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
 

那么查看TCL控制台,得到的命令如下:

ERROR: [Simulator 45-7] No such file 'D:/For' in the design.

ERROR: [USF-XSim-62] 'simulate' step failed with errors. Please check the Tcl console or log files for more information.
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
launch_simulation: Time (s): cpu = 00:00:15 ; elapsed = 00:00:42 . Memory (MB): peak = 823.332 ; gain = 0.000
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.

可以看到,并没有报明显的错误,而且我这个仿真文件也是在别处用过没问题的。

后来百度看到有人的文章说是什么license问题,更换了几次,没用,还有说什么防火墙问题。。我去。。试了依然没用

我就仔细分析出现错误的地方嘛,有一个这个地方吸引了我:错误代码:simulator 45-7。

我的路径是D:/For FPGA,中间是有个空格的,看来是识别不了空格,就把文件转移到C盘目录,发现这还是这个错,证明:

这个vivado工程应该已经存储了这个路径,即便我们更改工程路径,内部的一些配置还是没改,我也不知道改哪里,所以只好重新建立了个工程,问题解决。

后来用多了才知道这是vivado的BUG,工程目录某文件假下有个TempBreakPointFile.txt文件里面会存储仿真文件的绝对路径,把这个路径删掉就好了,simulate时读的带空格的路径估计也是这个。

情况2:综合时:ambiguous clock in event control

原来是 紫色箭头处,没用else语句。导致了可能出现不确定输出的情况

情况3:PLL布线时Unsupported PLLE2_ADV connectivity.......

[DRC REQP-1712] Input clock driver: Unsupported PLLE2_ADV connectivity. The signal u_clk_wiz_0/inst/clk_in1 on the u_clk_wiz_0/inst/plle2_adv_inst/CLKIN1 pin of u_clk_wiz_0/inst/plle2_adv_inst with COMPENSATION mode ZHOLD must be driven by a clock capable IO.

由提示信息可知,应该是配置clocking wizard出问题了。

解决办法:

选择PLL后,将source由“single ended clock capable pin”调为“global buffer”即可。再次implementation时候就不报错了。

因为我这个是用的差分晶振,所以系统时钟不是直接连接到某个IO上,而是由原语输出的一个全局wire,所以要改一下这个设置。

情况3 :new no such file error in Vivado 2020.2

升级了新的开发环境,首次仿真时没错,再次仿真时出现告错:

ERROR: [Simulator 45-7] No such file 'C:/path/to/file/dokukon_jam/dokukon.srcs/sources_1/new/dokukon_gpu.svC:/path/to/file/dokukon_jam/dokukon.srcs/sources_1/new/dokukon_gpu.sv' in the design.

进行以下步骤

0)无断点启动仿真,无告错,正常工作

1)添加断点,re-run仿真,告错;

2)手动打开TempBreakPointFile.txt 移除所有断点信息,可以仿真了。。。。

看来又是新版本的BUG,真是无敌了。。。。

情况4: simulate 仿真模式下断点打不上

系vivado的软件bug,使用的是vivado 2020.2版本,是因为该段代码之前的代码有被折叠的情况,把上面的代码展开即可打上断点。

情况5:移动路径后vivado编译报错 [Synth 8-439]

一般是因为vivado工程移动后路径还记录着之前的路径没有更新。

解决方案:
打开报错所在处的文件所在的目录,例如
\project_1\project_1.srcs\sources_1\bd\design_1\ip
找到出问题的IP的文件夹,并将其删除(此时,我发现出问题的IP核居然有两个目录)。
然后重新打开工程,再次generate product,便可以看到综合通过了。


如果您觉得这篇文章帮到了你,请点赞或者留下您的评论,您的鼓励是我前进的动力~

关注博主公众号 “嵌入式电子创客街” 获取更多及时技术分享~

  • 27
    点赞
  • 87
    收藏
    觉得还不错? 一键收藏
  • 12
    评论
### 回答1: Vivado是一款由赛灵思公司开发FPGA设计工具,用于开发和实现FPGA设计。下面是一个简单的Vivado 2017的使用教程。 首先,在打开Vivado 2017之前,确保您已经安装了Vivado软件并且已获取了许可证。 在打开Vivado 2017后,您将看到一个欢迎界面。您可以选择创建新的项目或打开现有的项目。如果您是第一次使用Vivado,建议选择创建新项目。 创建新项目后,您需要选择项目名称和存储位置。然后,您需要选择项目类型,根据您的需求选择适当的项目类型。接下来,您需要选择FPGA器件并添加约束文件(如果有)。 创建项目后,您将进入设计界面。您可以在这个界面上设计和实现您的FPGA设计。您可以添加和配置模块、连接输入和输出接口、设置时钟和约束等。 在设计完成后,您需要对设计进行综合和实现。综合是将设计转换为逻辑电路的过程,实现是将逻辑电路生成为目标FPGA器件的过程。在综合和实现过程,您需要选择合适的设置和选项。 当综合和实现完成后,您可以生成比特流文件。比特流文件是将设计下载到FPGA器件上的文件。您需要将比特流文件下载到FPGA器件上以进行测试和验证。 除了基本的设计流程之外,Vivado 2017还提供了很多其他功能和工具,如时序分析、功耗优化、调试和验证等。您可以根据您的需求深入学习和使用这些功能。 总之,Vivado 2017是一款功能强大的FPGA设计工具,通过本教程,您可以了解到它的基本使用方法。如果您想要深入学习和了解更多关于Vivado 2017的内容,建议参考Vivado官方文档和教程。 ### 回答2: Vivado是一款由Xilinx公司开发的用于FPGA芯片设计的集成开发环境。以下是Vivado 2017使用教程的简要说明: 1. 安装Vivado:首先,需要从Xilinx官方网站下载Vivado 2017的安装包,并按照安装向导完成安装过程。 2. 打开Vivado:安装完成后,可以通过桌面上的快捷方式或者命令行打开Vivado。 3. 新建项目:在Vivado主界面上,选择“Create New Project”,然后按照向导的指引设置项目名称、路径和FPGA芯片型号等信息。 4. 添加设计文件:在新建项目的向导,可以选择添加设计文件,包括顶层模块文件和其他子模块文件等。 5. 生成约束文件:约束文件是用于定义FPGA芯片引脚映射和时序要求的重要文件。在项目设置完成后,需要新建约束文件并设置相关约束。 6. 进行综合和实现:在项目完成设置后,可以开始进行FPGA设计的综合和实现工作。Vivado会将Verilog或VHDL代码综合成逻辑网表,并转化为目标FPGA芯片的配置文件。 7. 下载比特流文件:完成综合和实现后,可以生成比特流文件以供下载到目标FPGA芯片。在Vivado,可以选择生成比特流文件并配置下载选项。 8. 下载调试:在连接好目标FPGA开发板并配置下载选项后,可以通过Vivado将比特流文件下载到开发进行调试和验证。 以上是Vivado 2017使用教程的基本流程和步骤,具体的细节和高级操作可以通过查阅Vivado的官方文档或相关教程进行学习和掌握。 ### 回答3: Vivado 2017是一种集成电路设计软件,由Xilinx公司开发。以下是Vivado 2017的使用教程。 首先,下载和安装Vivado 2017软件。您可以从Xilinx官方网站下载该软件,并按照安装向导进行安装。安装完成后,打开Vivado软件。 在Vivado界面,您可以选择创建新的工程或打开已有的工程。如果您是新手,建议从创建新工程开始。 创建新工程时,您需要指定工程名称、存储位置和目标设备类型。选择适当的目标设备类型是很重要的,因为它将指导后续开发步骤。 完成工程创建后,您可以开始设计自己的电路。在设计阶段,您可以使用Vivado提供的各种工具和插件来实现您的想法。这些工具包括设计工具、仿真工具、综合工具和实现工具。 设计工具允许您创建和编辑原理图、RTL代码或者使用高层次综合语言进行设计。仿真工具可以帮助您验证电路的功能和正确性,确保设计满足预期需求。 综合工具将RTL代码转换为门级电路描述,为实现电路做准备。实现工具是用于将设计转换为特定目标设备上可以实际实现的物理布局。 完成设计后,您可以进行约束设置,以确保电路能够在目标设备上正常工作。这包括设置时钟频率、输入输出约束等。 最后,使用实现工具将设计下载到目标设备上进行验证和测试。可以通过Vivado提供的编程器来完成这个过程总结来说,Vivado 2017是一款功能强大的集成电路设计软件,可以帮助用户完成从设计到验证的整个流程。通过学习和掌握该软件,您可以设计和实现各种复杂的电路,用于不同领域的应用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 12
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值