自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

桔色小西瓜

一只努力学习的小西瓜

  • 博客(2)
  • 资源 (17)
  • 收藏
  • 关注

原创 FPGA实现图像处理中的直方图统计

利用FSM,状态机编写程序实现直方图统计,大意为,对图像中各个灰度级的像素个数进行计算并统计。我现在利用RAM,把图像的灰度级当做地址输入,然后像素数目当做ram的内容。统计同一灰度级的像素数目就是在双口RAM中在同一地址进行内容的累加。状态机如图所示:其中各个状态为:仿真结果如图所示:其中用到了倍频,倍频方法上一个文档说过了。

2017-08-24 11:48:42 4774 7

原创 ISE的IP核clocking wizard使用和例化

datasheet:https://www.xilinx.com/support/documentation/ip_documentation/clk_wiz/v4_2/pg065-clk-wiz.pdf这个时钟模块的功能主要有:主要的功能有:①可以设置两个输入时钟,最多7个时钟输出。⑤可以支持不同相位和占空比的需求。⑥支持扩频技术。如何使用?首先在

2017-08-23 11:04:10 10635 2

vivado从此开始

本书为指导使用vivado软件进行FPGA调试的必备用书,包括了综合,布局布线,生成下载文件,以及时序约束等等

2019-01-09

vivado约束手册

Vivado的约束手册,可供采用Xilinx的FPGA开发的人使用。

2019-01-09

vivado的tcl脚本

vivado的tcl脚本,可用于vivado软件的借鉴参考~方便FPGA开发

2019-01-09

CMOS数字集成电路第七章课件_时序(成均馆大学)

CMOS数字集成电路第七章课件_时序,来自成均馆大学的课程讲义

2017-11-24

CMOS数字集成电路第五章课件_组合逻辑电路(成均馆大学)

CMOS数字集成电路第五章课件_组合逻辑电路(成均馆大学)

2017-11-24

FPGA实现图像处理中的直方图统计

FPGA实现图像处理中的直方图统计,Verilog

2017-08-24

用FPGA利用xilinx自带的IP核实现反正切运算

用FPGA利用xilinx自带的IP核实现反正切运算(其中包括浮点转定点,定点转浮点运算)

2017-05-18

linebuffer,移位寄存器

quartus里面的IP核,altshift_ram的移位寄存器,这里是自己编写的替代品,没有使用IP核

2016-08-16

基于FPGA的sobel边缘检测

基于FPGA的sobel边缘检测的完整程序,没有使用IP核,使用xilinx的FPGA

2016-08-16

Matlab把bmp彩色图片转成mif或者coe文件

matlab将彩色图片分解成三基色然后存入coe文件 mif文件只需将%后的叙述部分取消掉即可 有问题可联系我

2016-08-12

基于FPGA的彩色图像显示

附源程序所有文件 讲一下平台,xilinx的FPGA 程序可以成功运行,彩色图像显示,其中的rom没有附上,我有另一链接是讲怎么生成coe文件的

2016-08-12

XilinxFPGA的spartan-3的DCM资料

XilinxFPGA的spartan-3的DCM资料 里面有具体的操作步骤

2016-06-11

Matlab转换BMP图片为Mif文件

将.bmp格式的图片通过Matlab软件转换成为可供ROM使用的Mif文件,其中首先需要通过Import Data把.bmp格式的图片导入Matlab中变量为cdata的参量,然后使用改程序生成Mif文件

2015-11-09

Matlab Marr小波 墨西哥草帽小波变换尺度图程序

Matlab程序代码生成Marr小波,墨西哥草帽小波的程序 以及验证后波形图

2015-10-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除