uvm基础(3)phase机制-深入分析phase机制的原因

引入phase机制的原因

我们虽然可以通过new函数来实现对象例化,但是new函数无法保证验证环境在实现层次化时保证例化的先后关系,以及各个组件例化后的连接。因此uvm引入了phase机制。

phase执行机制

phase按是否消耗仿真时间,分为俩大类,一类是function phase,如build_phase,connect_phase这些不消耗时间在零时刻就可以完成。另一类是task phase,如run_phase。这类是消耗仿真时间的。

phase的执行顺序

build_phase是自上而下执行的,只有例化高层的组件,才能创建空间来容纳低层组件。
uvm中,除了build_phase外,剩下的所有不耗时间的phase都是自下而上进行的。

如何开始和结束UVM仿真

一般通过全局函数run_test()来开始仿真。
使用objection来控制仿真退出。我们一般在sequence中挂起sequencer的objection,当sequence完成后,再撤销objection。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值