UVM验证之phase机制

1、task phase与function phase

根据是否消耗仿真时间,uvm phase分为两大类:一类是function phase,如build_phase、connect_phase等,这些phase都不消耗仿真时间,通过函数来实现;另一类是task phase,如run_phase、main_phase,这些phase是消耗仿真时间的,通过任务来实现。如下图所示:
UVM中的phase
图中所示的phase会按照图中的顺序自上而下自动执行。对于function phase而言,同一时间只有一个phase在执行;但是对于task phase而言,run_phase和pre_reset_phase等12个小的phase并行执行。通常使用最多的是build_phase、connect_phase和main_phase。

2、phase执行顺序

对于UVM树而言,共有三种执行顺序,分别为自上而下、自下而上和随机序。对于有直系关系的component而言,build_phase采用自上而下的执行顺序,其余function phase都采用自下而上的执行顺序;对于同一层次、具有兄弟关系的component而言,phase的执行顺序是按照字典序的,即依据new时创建的名字。比如monitor创建的名字为mon,driver的名字为drv,那么优先执行driver的build_phase;monitor创建的名字为aaa,driver的名字为bbb,那么优先执行monitor的build_phase。

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值