自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 收藏
  • 关注

原创 Verilog HDL期末作业

1.实验目的:熟悉Verilog语言的使用,熟练的使用modelsim软件,对老师期末布置的三个实验进行仿真。2.实验内容:1.数字逻辑基础与Verilog设计P174 图5.122.Verilog HDL(第二版)数字电路设计及仿真 十一章实验43.Verilog HDL 高级数字设计(第二版)p80 例4.83.实验原理:4实验工具:PC机和modelsim软件。5.实验截图:第一个实验截图第二个实验截图第三个实验截图6.实验视频:由于视频文件过大,作者已发布在哔哩哔哩a

2021-06-27 16:21:57 653

原创 Hbuilder插入图片网页中无法显示

求各位大佬帮助

2022-03-05 12:41:14 7080 8

原创 4位加法器的门级建模

1.实验目的:4位加法器的门级建模2.实验内容:参照哔哩哔哩中的教程代码,进行Modelsim3.实验原理:根据书上的代码和老师的教学步骤进行仿真4.实验工具:quartus ii 与modlsim软件5.实验代码:module fulladd(S,Cout,Cin,A,B);output S,Cout;input Cin,A,B;wire and1,and2,and3,and4;xor (S,Cin,A,B);and (and1,Cin,A);and (and2,A,B);

2021-07-06 00:06:07 109

原创 quartus ii 20与modelsim联合仿真的实验二

1.实验目的:下载Quartur ii软件和modlsim并进行联合仿真。2.实验内容:参照哔哩哔哩中教程的代码,然后用quartus ii和modulus进行联合仿真3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。YP4实验工具:pc机和Quartur ii软件和modlsim软件。5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://www.bilibili.com/video/BV1Uy4y1x7FF?share_source=copy_w

2021-07-05 23:56:40 227

原创 使用Quartur ii软件和modelsim并进行Modelsim工程仿真流程

1.实验目的:使用Quartur ii软件和modelsim并进行Modelsim工程仿真流程2.实验内容:参照今日头条中教程的代码,然后使用Quartur ii软件和modelsim并进行Modelsim工程仿真流程3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作module fulladd (sum, c_out,a,b,c_in) ;output sum,c_out;input a,b,c_in;wire s1,c1,c2;xor (s1,a,b) ;and (c1

2021-05-28 20:32:56 135

原创 使用Quartur ii软件和modelsim进行主采用if语句完成建模

1.实验目的:使用Quartur ii软件和modelsim进行主采用if语句完成建模2.实验原理按照视频上的内容,书写和运行代码,完成联合仿真操作3.实用工具pc机和Quartus ii软件和Modelsim软件4.实验截图5…实验代码module MUX4x1(Y,A,B,C,D,S1,S0,En_);output Y;input A,B,C,D;input S1,S0;input En_;reg Y;always @(A or B or C or

2021-05-28 20:18:13 328

原创 使用Quartur ii软件和modelsim进行主从D触发器的门级建模

1.实验目的:使用Quartur ii软件和modelsim进行Modelsim工程仿真流程2.实验内容:参照今日头条中教程的代码,然后用quartus ii和modlsim并进行Modelsim工程仿真流程3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作module fulladd (sum, c_out,a,b,c_in) ;output sum,c_out;input a,b,c_in;wire s1,c1,c2;xor (s1,a,b) ;and (c1, a,b

2021-05-28 20:07:20 232

原创 Quartus ii安装及使用实验报告

Quartus ii安装及使用实验报告1.实验目的:下载Quartus ii软件并进行图片仿真和代码仿真2.实验原理3.实用工具pc机和Quartus ii软件4.实验截图5.实验视频下载哔哩哔哩,搜索https://b23.tv/IdwH93即可查看视频6.实验代码module example(x1,x2,s,f);input x1,x2,s;output f;not(k,s);and(g,k.x1);and(h,s,x2);or(f,g,h);endmodule

2021-03-15 17:51:24 1377

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除