可能学VHDL比VerilogHDL好吧?

今天做了几个Xilinx大学计划里的Spartan-3E Starter 的例子,在Lab4中有个很有趣的Synthesize Report:

Minimum period (Verilog): ~12 ns (Maximum Frequency: ~83 MHz)

Minimum period (VHDL): ~10.5 ns (Maximum Frequency: ~95 MHz)

 

Slices
167 (Verilog)/ 163 (VHDL)
Slice Flip Flops
148 (Verilog)/ 147 (VHDL)
4 input LUTs
303 (Verilog)/ 298 (VHDL)
IOBs
21 (Verilog and VHDL)
BRAMs
1 (Verilog and VHDL)
Global Clocks
2 (Verilog and VHDL)
DCMs
1 (Verilog and VHDL)

同样的功能,同样的逻辑,同样的参数,却得到不同的资源占用情况。

很明显,VHDL做的例子耗费的LCB资源要比Verilog来的少,可能也是因为通过的门电路少了,VHDL做的就比Verilog做的延时要小,因而频率也能更大一些。

看来Xilinx在他的综合器里更倾向于对VHDL的优化。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值