关于芯片验证的感悟4

1.4  refer-c 和DPI 接口设计  

       SV 方面:

       如果SV 和 C++ 交互为对象 :  import   “DPI-C" function chandle  getWrapperInstance() ; 来获取对象 ;

       如果SV 和C++ 交互为函数:     import  "DPI-C" function  void  systemSetup (input chandle systemInst ,...) 来传送数据给refer-C

     C/C++ 方面:

        添加与SV交互的接口代码 :    dpi_main.cpp

      修改C/C++ 代码, GDB 调试 , 最后集成到 UVM 中 

     Makefile :

       添加输入参数给 SV ,环境配置  

    shell:

      test  case 的自动化配置, 参数传递给 Makefile 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值