十进制数转8421BCD码

这篇博客介绍了如何使用Verilog设计代码将十进制数转换为8421BCD码。提供了一个模块bate_to_bcd,根据输入的4位十进制数输出相应的4位8421BCD码,并包含了一个测试模块tb_bate_to_bcd用于验证转换功能。
摘要由CSDN通过智能技术生成

十进制数转8421BCD码
设计代码
`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 11:24:48 04/03/2020
// Design Name:
// Module Name: bate_to_bcd
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//
module bate_to_bcd(
a,
b
);
input [3:0]a;
output reg[3:0]b;
always@(*)
begin
case(a)
4’d0: b = 4’b0000;
4’d1: b = 4’b0001;
4’d2: b = 4’b0010;
4’d3: b = 4’b0011;
4’d4: b = 4’b0100;
4’d5: b = 4’b0101;
4’d6: b = 4’b0110;
4’d7: b = 4’b0111;
4’d8: b = 4’b1000;
4’d9: b = 4’b1001;
default: b = 4’b0000;
endcase
end
endmodule

测试代码
`timescale 1ns / 1ps

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值