求取对称矩阵特征值和特征向量的Jacobi过关法

本文介绍了如何用Jacobi方法求解对称矩阵的特征值和特征向量。通过提供的Delphi代码实现,展示了算法的具体步骤,包括迭代更新矩阵元素和旋转操作,直至满足预设的精度要求。
摘要由CSDN通过智能技术生成

特征值和特征向量是指对于矩阵A有,Av=lv,v为特征向量,l为特征值。就是求解一个高次方程:det(A-lI)=0

代码如下:

unit Matrix;

interface

uses
  Math, Windows, SysUtils, Variants, Classes;

Type

TSingleExtendedArray =array of extended;

TDoubleExtendedArray=array of array of extended;

TDoubleLongintArray=array of array of longint;

procedure CalculateEigenVV(var EigenLambda: TSingleExtendedArray; var EigenVector: TDoubleExtendedArray; C: TDoubleLongintArray; N: longint; eps: extended);

implementation

procedure CalculateEigenVV(var EigenLambda: TSingleExtendedArray; var EigenVector: TDoubleExtendedArray; C: TDoubleLongintArray; N: longint; eps: extended);
var
  i, j, fi, fj, p, q, TL, k: longint;
  Change: boolean;
  x, y, cn, sn, Omega, fm, Acurrency: Extended;
  Ja: TDoubleExtendedArray;
begin
  setlength(Ja, N, N);
  setlength(EigenVector, N, N);

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值