UVM:5.3.1 domain 简介

177 篇文章 134 订阅

1.domain 是UVM 中用于组织不同组件的概念。

2.没有domain:两个driver 位于同一domain



3.有domain:两个driver 位于不同domain


4.

1)domain 把两个时钟域隔开,之后两个domain的各个动态运行的phase 就可以不同步。

2)只能隔离run-time phase。

3)run_phase 和其它function phase 是同步的。

  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值