左移、右移理解

左移运算符 <<

定位:双目运算符;

功能:把左移运算符(<<)左边的运算数的各二进制位全部左移若干位,移动的位数由左移运算符右边的数指定,高位舍掉,低位补0

 

右移运算符 >>

定位:双目运算符;

功能:把右移运算符(>>)左边的运算数的各二进制位全部右移若干位,移动的位数由右移运算符右边的数指定;

对于有符号数,在右移时,符号位将随同移动:

当有符号数为正数时,最高位补0

当有符号数为负数时,最高位也就是符号位为1,最高位补0或者补1,取决于编译系统。(很多系统规定为补1)

 

通俗理解:

  • 左移将原数乘以2

  • 右移将原数除以2

举例

十进制:100

二进制:00000000 00000000 00000000 01100100

整型是4字节32位,将100以整型的二进制表示


100 >> 2

二进制: 00000000 00000000 00000000 00011001 | 00 解释: | 后面被剔除,前面缺少的两个补0 结果: 25 右移两位相当于除以2,再除以2,结果为25


100 << 2 二进制: 00 | 00000000 00000000 00000001 100100 00 解释: | 前面被剔除,后面缺少的两个补0 结果: 400 左移两位相当于乘以2,再乘以2,结果为400

 

总结:

  • 负数有符号右移高位补1

  • 负数无符号右移高位补0

  • 位移是一个取余得过程

  • 无符号右移无论正负高位添0

 

参考:

         https://blog.csdn.net/dh554112075/article/details/89970354

  • 15
    点赞
  • 66
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
好的,根据你的需求,我为你设计了一个移位寄存器的VHDL代码: ```vhdl entity shift_register is Port ( CLK : in STD_LOGIC; CR : in STD_LOGIC; S1 : in STD_LOGIC; S0 : in STD_LOGIC; D : in STD_LOGIC; C : in STD_LOGIC; B : in STD_LOGIC; A : in STD_LOGIC; DSL : in STD_LOGIC; DSR : in STD_LOGIC; QD : out STD_LOGIC; QC : out STD_LOGIC; QB : out STD_LOGIC; QA : out STD_LOGIC); end shift_register; architecture Behavioral of shift_register is signal qd_temp, qc_temp, qb_temp, qa_temp : std_logic; begin process (CLK, CR) begin if (CR = '1') then qd_temp <= '0'; qc_temp <= '0'; qb_temp <= '0'; qa_temp <= '0'; elsif (rising_edge(CLK)) then case S1 & S0 is when "00" => -- 保持 qd_temp <= QD; qc_temp <= QC; qb_temp <= QB; qa_temp <= QA; when "01" => -- 右移 qd_temp <= DSR; qc_temp <= A; qb_temp <= B; qa_temp <= C; when "10" => -- 左移 qd_temp <= DSL; qc_temp <= D; qb_temp <= C; qa_temp <= B; when "11" => -- 输入 qd_temp <= D; qc_temp <= C; qb_temp <= B; qa_temp <= A; end case; end if; end process; QD <= qd_temp; QC <= qc_temp; QB <= qb_temp; QA <= qa_temp; end Behavioral; ``` 在这个代码中,我们使用了四个输出端口来输出寄存器中的四个位。输入端口包括了时钟信号 CLK,异步清零信号 CR,控制信号 S1 和 S0,数据输入信号 D,C,B,A,左移串入信号 DSL 和右移串入信号 DSR。同时,我们使用了四个中间变量 qd_temp, qc_temp, qb_temp, qa_temp 来存储寄存器中的四个位。 这个代码使用了一个 process 进程,根据时钟信号 CLK 和异步清零信号 CR 来控制移位寄存器的行为。在上升沿时,根据控制信号 S1 和 S0 的不同值,进行不同的操作。当 S1S0 = 00 时,保持当前状态,输出原有数据;当 S1S0 = 01 时,右移数据,DSR 信号输入到最低位,原有数据向右移动一位;当 S1S0 = 10 时,左移数据,DSL 信号输入到最高位,原有数据向左移动一位;当 S1S0 = 11 时,输入新的数据,D,C,B,A 信号输入到寄存器中。 需要注意的是,在进行移位操作时,需要将移位串入信号 DSL 或 DSR 插入到正确的位置。例如,对于右移操作,DSR 应该插入到原有数据的最低位。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Halo 咯咯

有你的支持我会更加努力。

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值