自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(38)
  • 资源 (1)
  • 收藏
  • 关注

原创 uva 11766 Racing Car Computer(DP)

FRacing Car ComputerInput: Standard InputOutput: Standard OutputThe racing cars of today are equipped with so many sophisticated equipment. Introduction of a new visual transduce

2014-01-27 22:36:22 659

原创 uva 10453 Make Palindrome(DP)

Problem B : Make PalindromeFrom:UVA, 10453Problem AMake PalindromeInput: standard inputOutput: standard outputTime Limit: 8 secondsBy definition palindrome is a string which is not c

2014-01-22 23:27:42 581

原创 uva 10618 Tango Tango Insurrection (DP)WA

Problem A: Tango Tango Insurrection You are attempting to learn to play a simple arcade dancing game. The game has 4 arrows set into a pad: Up, Left, Down, Right. While a song plays, you watch arrow

2014-01-21 23:01:54 1044 1

原创 uva 11578 Situp Benches (DP)求完dp之后求路径

Problem B: Situp BenchesThe gym at the University of Alberta has two identical sit up benches that are side by side. Each of these benches can be inclined in 10 degree increments between 10 degree

2014-01-18 16:28:27 892

原创 uva 10549 Russian Dolls (DP)

Problem D : Russian DollsFrom:UVA, 10549Problem A: Russian DollsRussian nesting dolls are brightly painted hollowwooden figures. The dolls in a set have roughly the same shape, typically human

2014-01-16 19:44:46 964

原创 uva Diatribe against Pigeonholes (模拟 但是被分在DP的类别中)

Diatribe against Pigeonholes BackgroundIn an anonymous town there is a carpenter, the only one in many kilometres,specialized in making pieces of furniture (and famous for being a bit stingywith t

2014-01-16 15:58:38 693

原创 uva 11485 Extreme Discrete Summation(DP)

EExtreme Discrete Summation  Given set S what is the value of the right hand side of thefollowing assignment? In other wordswhat is the value of A.For example if S={1.2, 3.6, 4

2014-01-15 12:11:06 645

原创 uva 11617 An Odd Love (dp)

D. An Odd Love ContextSpring has arrived and our friend Pepito has fallen in love. But he is not sure if shealso loves him, so he decides toask the daisies. He takes a daisy andalternately speak

2014-01-14 21:05:01 774

原创 uva 11569 Lovely Hint (DP)

Problem E: Lovely HintJay and Kay decide to play a game. They write an English sentence on a piece of paper. The objective of the game is to pick out some of the written alphabets, rearrange them,

2014-01-14 17:57:16 665

原创 uva 11471 Arrange the Tiles (DP)

Problem A Arrange the TilesTime Limit : 4 seconds   There is a board of dimension 4 x 3. Each cell of the board is a container that can hold a tile. The board is shown in t

2014-01-14 14:42:18 948

原创 uva 11450 Wedding Shopping (DP)

D. Wedding Shopping BackgroundOne of our best friends is getting married and we all are nervous because he is thefirst of us who is doing something similar. In fact, we have never assisted to

2014-01-14 13:13:49 547

原创 uva 11372 Arranging a Contest(DP)

ProblemB: Arranging a ContestToday is 29 November, 2007.A month later, we will have our traditional Contest of Newbies 2007, so it is time for theNewbie Problemsetters (NPS) to come up with

2014-01-14 00:49:54 755

原创 uva 11400 Lighting System Design (DP)

Problem G : Lighting System DesignFrom:UVA, 11400Problem FLighting SystemDesignInput: StandardInputOutput: StandardOutput  You are given the task to designa lighting system for a huge

2014-01-13 21:17:15 665

原创 uva 11391 Blobs in the Board (DP)

IIU C ONLINE C ON TEST2008Problem G: Blobs in the BoardInput: standard inputOutput: standard outputYou are given a board consists of R rows and C column

2014-01-12 22:04:20 867

原创 uva 11341 Term Strategy (DP)

B - Term StrategyTime Limit: 1 sec Memory Limit: 16MBStudent Peter was playing billiard all the term and for that reason he missedall his lectures. Unfortunately that's the time for term exams

2014-01-11 16:58:56 912

原创 uva 11311 EXCLUSIVELY EDIBLE (DP)

Problem EEXCLUSIVELY EDIBLEHansel and Gretel like cakes, but especially the so called �grid cake" served in Wolfgang Puck's restaurants. It is made ofmn pieces of different cakes, resembling

2014-01-11 14:33:16 1232

原创 uva 10654 The Uxuhul Voting System(DP+记忆化)

Problem DThe Uxuhul Voting SystemInput: standard inputOutput: standard outputTime Limit: 1 secondOne of the world's first civilizations was that of the ancient Uxuhul indians, in the jungl

2014-01-10 13:36:38 705

原创 uva 10599 Robots(II) (DP)

Robots(II)Time Limit1 SecondYour company provides robots that can be used to pick up litter from fields after sporting events and concerts. Before robots are assigned to a jo

2014-01-09 21:47:47 597

原创 uva 10118 (Free Candies)(DP)

Problem B. Free CandiesThe ProblemLittle Bob is playing a game. He wants to win some candies in it - as many as possible.There are 4 piles, each pile contains N candies. Bob is g

2014-01-09 00:11:47 702

原创 uva 10817 Headmaster's Headache (DP + 位运算)

Problem D: Headmaster's HeadacheTime limit: 2 secondsThe headmaster of Spring Field School is considering employing some new teachers for certain subjects. There are a number o

2014-01-08 19:24:59 753

原创 uva 11258 String Partition(DP)

Problem F - String PartitionJohn was absurdly busy for preparing a programming contest recently. He wanted to create a ridiculously easy problem for the contest. His problem was not only easy, b

2014-01-08 12:18:27 618

原创 uva 10604 Chemical Reaction (DP)

Problem EChemical ReactionIn a chemist’s lab, there are several types of chemicals in tubes. The chemist wants to mix all these chemicals together, two chemicals at a time. Whenever two chemical

2014-01-07 19:51:44 868

原创 uva 702 The Vindictive Coach (DP)

Problem A : The Vindictive CoachFrom:UVA, 702The Vindictive CoachThe coach of a football team, after suffering for years the adverse comments of the media about his tac

2014-01-07 00:52:27 1206

原创 uva 10401 Injured Queen Problem(DP)

Problem IInjured Queen ProblemInput: standard inputOutput: standard outputTime Limit: 6 secondsMemory Limit: 32 MBChess is a two-player board game believed to have been played in India as

2014-01-06 14:10:09 528

原创 uva 10912 Simple Minded Hashing (DP)

4thIIUCInter-University Programming Contest, 2005HSimple Minded HashingInput: standard inputOutput: standard outputProblemsetter: Sohel HafizAll o

2014-01-06 12:29:22 594

原创 uva 10304 Optimal Binary Search Tree(DP)

Problem EOptimal Binary Search TreeInput: standard inputOutput: standard outputTime Limit: 30 secondsMemory Limit: 32 MBGiven a set S = (e1, e2, ..., en) ofn distinct elements such that

2014-01-06 00:01:43 569

原创 uva 10069 Distinct Subsequences(DP + 大数相加)

Problem EDistinct SubsequencesInput: standard input Output: standard outputA subsequence of a given sequence is just the given sequence with some elements (possibly none) left out. Formally, g

2014-01-05 00:13:04 481

原创 uva 10617 Again Palindromes(DP)

Problem IAgain PalindromesInput: Standard InputOutput: Standard Output Time Limit: 2 SecondsA palindorme is a sequence of one or more characters that reads the same from the left as it does

2014-01-04 19:34:03 580

原创 uva 10721 Bar Codes (DP)

Problem DBar CodesTime Limit1 SecondA bar-code symbol consists of alternating dark and light bars, starting with a dark bar on the left. Each bar is a number of units w

2014-01-04 18:21:19 544

原创 uva 10081 (Tight Words) (DP)

Problem B : Tight WordsFrom:UVA, 10081Problem B: Tight wordsGiven is an alphabet {0, 1, ... , k}, 0 . We say that a word of lengthn over this alphabet is tight if any two neighbour digits

2014-01-04 15:43:07 591

原创 uva 10400(Game Show Math) (DP)

Game Show MathInput: standard inputOutput: standard outputTime Limit: 15 secondsA game show in Britain has a segment where it gives its contestants a sequence of positive numbers and a tar

2014-01-04 13:28:20 478

原创 UVA 711 Dividing up (DP)

Dividing upMarsha and Bill own a collection of marbles. They want to split the collection among themselves so that both receive an equal share of the marbles. This would be easy if all t

2014-01-04 10:08:16 541

原创 uva 10943 How do you add? (DP)

Problem E : How do you add?From:UVA, 10943Problem A: How do you add? Larry is very bad at math - he usually uses a calculator, which worked well throughout college. Unforunately, he is now s

2014-01-03 22:06:07 629

原创 uva 348 Optimal Array Multiplication Sequence (DP)

Optimal Array Multiplication SequenceGiven two arrays A and B, we can determine the array C =A B using the standard definition of matrix multiplication: The number of columns in

2014-01-03 19:16:40 474

原创 uva 10465 (Homer Simpson) (DP)

Homer SimpsonTime Limit: 3 secondsMemory Limit: 32 MBHomer Simpson, a very smart guy, likes eating Krusty-burgers. It takes Homer m minutes to eat a Krusty- burger. However

2014-01-03 00:27:29 485

原创 uva 10285 Longest Run on a Snowboard (DP)

Longest Run on a SnowboardInput: standard inputOutput: standard outputTime Limit: 5 seconds Memory Limit:32 MBMichael likes snowboarding. That's not very surprising, since snowboar

2014-01-03 00:07:28 509

原创 uva 116(Unidirectional TSP)(DP)

Unidirectional TSPBackgroundProblems that require minimum paths through some domain appear in many different areas of computer science. For example, one of the constraints in VLSI ro

2014-01-02 17:26:33 559

原创 poj 1742 Coins (DP)

CoinsTime Limit: 3000MS Memory Limit: 30000KTotal Submissions: 26484 Accepted: 8972DescriptionPeople in Silverland use coins.They have coins of value A1,A2,A3...A

2014-01-02 15:11:50 563

polya波利亚计数poj2154

ACM组合数学波利亚计数解析,通过例题解释波利亚计数的简单入门用法。

2014-05-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除