曼彻斯特编码器的vhdl实现代码

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
 
entity manchester_encode is
    Port ( clk : in  STD_LOGIC;
           data_in : in  STD_LOGIC;
           data_out : out  STD_LOGIC);
end manchester_encode;
architecture Behavioral of manchester_encode is
signal count:std_logic_vector(1 downto 0);
type work_type is (init,working);
signal work_st : work_type:=init;
begin
process (clk)
begin
 if clk'event and clk='1' then
  if data_in='1' then
   count<="01";
  else
   count<="10";
  end if;
 end if;
end process;
process(clk)
begin 
   if clk'event and clk='1' then
      if work_st=working then
         data_out<=count(1);
         work_st<=init;
      else
         data_out<=count(0);
         work_st<=working;
      end if;
   end if;
 end process;

end Behavioral;

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值