Verilog之i2c协议

说明:i2c的作业,有很多东西是参考网上。

时间:2014年5月6日星期二


1.问题描述:

如图所示,已知时钟clk为100k,rst为复位信号,上升沿有效,基于Verilog HDL或者VHDL语言,将A器件内的六个8位数据,按照I2C协议规格送入总线sda,并产生相应的串行时钟scl.

要求(1):写出完整代码;

要求(2):给出仿真波形图。


1.1仿真波形图

在波形图中,黄色波形表示sda,红色波形data是为了方便观察sda所传输的数据而设立的。所要传输的6个数据分别为:8’h98, 8’h01, 8’h41, 8’hf0,8’h60, 8’h90;器件地址是自己定义的7'b1011010(因为I2C器件的地址是7位,作业给出的地址是8位)。


发送数据的放大波形图如下(以发送数据8’h41为例):


1.2完整代码

代码采用Modelsim仿真,编写了Verilog程序和testbench激励文件。

Verilog程序:

modulei2c (scl, sda, clk, rst);
       inout scl;
       inout sda;
       input clk;
       input rst;
 
       reg i;
       reg rscl = 1'bz;
       reg rsda = 1'bz;
       reg[7:0] temp, data;
      
       reg[6:0]          slave_addr_reg= 7'b1011010; //slave addr
       parameter      DATA0 = 8'h98;
       parameter              DATA1 = 8'h01;
       parameter              DATA2 = 8'h41;
       parameter              DATA3 = 8'hF0;
     
  • 14
    点赞
  • 105
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值