自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

火玉的博客

MATLAB & FPGA

  • 博客(24)
  • 资源 (2)
  • 收藏
  • 关注

原创 没有GUI的Linux如何用python作图

环境:win10 ;内嵌linux子系统;ubuntu自带python31、python文件的改动过在from matplotlib import pylot之前,添加代码:import matplotlibmatplotlib.use("Agg")在plt.show()代码之后,添加代码:plt.savefig("***.jpg")2、完整代码如下假定文件...

2020-02-13 17:57:32 654

原创 GMII接口

物理接口收发器(PHY),它实现了OSI模型的第一层--物理层,它整合了大量的模拟硬件媒体介入控制器(MAC),它实现了OSI模型的数据链路层的下半部分,主要负责控制与连接物理层的物理介质,典型的全数字器件,MII媒体独立接口(MII),是MAC与PHY连接的标准接口,MII接口提供了MAC与PHY之间,PHY与STA之间的互联技术。提到MII,就免不了要涉及到RS,P...

2020-01-07 23:42:12 6316

原创 UDP协议校验和的计算

UDP协议的伪首部既不向上传输也不向下传输为什么我们还要计算它?答:UDP的校验和需要计算UDP首部加数据荷载部分,也需要加上UDP伪首部,既如图所示:源IP地址,目的IP地址,UDP长度,再加上被补充了1字节全零的协议类型(即第三字段和第四字段)构成了一个长度为12字节的伪首部在图中可以看出在计算校验和时,校验和的那部分也被包含其中。在计算时校验和的部分被置0方可计算。U...

2020-01-07 17:09:50 5030 1

原创 OSI七层模型和STP/IP协议模型(生动形象,简单易懂)

之前本科的时候也了解过OSI七层模型和STP/IP模型,但是总是无法理解他们。这段时间有需要用到这两个概念的地方,所以再回头了解了一下这两个概念。在这篇博客中的很多地方是直接引用了其他的博客内容,如果这篇博客中还有哪里没有讲清楚的希望大家直接留言询问或去博客原文了解(原文的链接我在文章末尾已经列出)之前也看过OSI七层模型,但是一直没有太明白七层模型的含义,直到我在一篇博客上看到了一段T...

2020-01-04 22:05:52 871

原创 EDA工具编译过程及测试文件的添加(quartus II)

编译过程:compile design:1.analysis and synthesis : 对设计文本进行分析综合2.place and route : 对设计进行布局布线3.generate programming files : 生成汇编文件4.timequest timing analysis : 进行时序分析5.eda netlist writer : 生成下载...

2020-01-02 21:44:47 3120

原创 如何讲解系统框图

步骤:1、系统框图中包含哪些关键模块。2、对系统框图中的模块进行分类(哪些模块用于实现哪个功能)3、功能模块间是如何进行通信的,实现了什么功能。4、然后再对功能模块中的模块进行说明,讲解模块是如何实现模块功能的。...

2019-04-25 22:08:49 6799

原创 fpga 流水线算法设计实例

所谓流水线设计实际上就是把规模较大、层次较多的组合逻辑电路分为几个级,在每一级插入寄存器组暂存中间数据。K 级的流水线就是从组合逻辑的输入到输出恰好有 K 个寄存器组(分为 K 级,每一级都有一个寄存器组)上一级的输出是下一级的输入而又无反馈的电路。非流水线设计:流水线设计:四级8位加法器的设计原理图:对应的VHDL描述:LIBRARY ieee; USE...

2019-04-10 17:42:23 2344

原创 VHDL 进程间的数据传递规则

“在VHDL中不允许在两个进程中同时对同一个信号进行赋值”,下面我们通过两个实例具体来解释一下这句话。ENTITY sort IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; out0 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); );END...

2019-04-05 10:01:03 2390

原创 定点数加法的VHDL描述转化为具体的数字门电路的流程

2019-03-18 12:00:05 465

原创 二进制加法器的VHDL程序

在上一篇文章中我们讨论了二进制加法器的原理,在此我们给出二进制加法器的VHDL描述:library IEEE;use IEEE.std_logic_1164.all;package std_logic_arith is type unsigned is array (natural range<>) of std_logic; type signed is...

2019-03-17 21:07:39 4307

转载 二进制加法器

图1 - 4bit全加器原理上一节我们学习了ALU的加法实现功能部件——全加器,进行两个4bit的二进制数相加,就要用到4个全加器(如图1所示)。那么在进行加法运算时,首先准备好的是1号全加器的3个input。而2、3、4号全加器...

2019-03-17 16:59:52 12349

转载 FPGA算术运算

有符号数和无符号数要使用SIGNED和UNSIGNED类型数据,必须在代码开始部分声明ieee库中的包集std_logic_arith。它们支持算术运算但不支持逻辑运算。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;……SIGNAL a: IN SIGNED (7 DOWNTO 0...

2019-03-17 14:20:09 2640

转载 vivado ROM的使用

转载:http://blog.sina.com.cn/s/blog_178a6cbd50102y5od.html生成.coe文件一、了解.coe文件的格式在ISE中,对rom进行初始化的文件是.coe文件。它的格式如下:memory_initialization_radix=10; -->文件存储数据的进制,10即为10进制memory_initializati...

2019-03-16 16:39:54 9482 1

原创 浮点数的加法运算

浮点数的加法运算分以下五个步骤:1、对阶:这一点和十进制中计算指数一样,首先应该使指数化为相同的指数幂,例如:x=3*10^4,y=4*10^3,则,x+y=3*10^4+0.4*10^4=(3+0.4)*10^4二进制中也是如此:x=.1010*2^10,y=.1100*2^01,则,x+y=.1010*2^10+.0110*2^10=(.1010+.0110)*2^10...

2019-03-16 15:01:00 22626 6

原创 VHDL数据类型

integer(整数): 所有正的和负的整数,用32位矢量表示;natural(自然数) : 整数的一个子集,表示非负整数;positive(正整数) :整数的一个子集,表示zheg正整数;real(实数) : 或称为浮点数,一般只用于仿真,综合器不支持综合;...

2019-03-15 12:27:24 1755

原创 VHDL 属性语句之attribute 和keep

attribute属性:定义格式:attribute 属性名 :数据类型;attribute 属性名 of 对象名 : 对象类型 is 值;引脚锁定的设置也能够直接写在程序文件中。这就是liyo利用所谓的引脚属性定义来完成引脚锁定。引脚属性定义的格式随各个厂家的综合器和适配器的不同而不同。下面以ALTRA中一种为例:architecture one of mult4 is ...

2019-03-15 09:52:35 11430

原创 地址总线之寻址原理

译码器将地址总线的数据经过译码后锁定相应的位置,译码器有两种方结构,一种是单译码器,另外一种是双译码器。单译码器适用于存储容量小的应用环境中。双译码器结构将译码器分为两部分一部分是行译码器,另一部分是列译码器。行和列的交叉处即为所选中的存储位置,这种结构的输出线较少。 ...

2019-03-14 20:56:47 3910

转载 浮点数原理

  导读:浮点数运算是一个非常有技术含量的话题,不太容易掌握。许多程序员都不清楚使用==操作符比较float/double类型的话到底出现什么问题。 许多人使用float/double进行货币计算时经常会犯错。这篇文章是这一系列中的精华,所有的软件开发人员都应该读一下。  随着你经验的增长,你肯定 想去深入了解一些常见的东西的细节,浮点数运算就是其中之一。1. 什么是浮点数?  在...

2019-03-13 15:37:31 667 1

转载 IEEE 754 浮点数的详细分析

前言从网上看到不少程序员对浮点数精度问题有很多疑问,在论坛上发贴询问,很多热心人给予了解答,但我发现一些解答中有些许小的错误和认识不当之处。我曾经做过数值算法程序,虽然基本可用,但是被浮点数精度问题所困扰;事情过后,我花了一点时间搜集资料,并仔细研究,有些心得体会,愿意与大家分享,希望对IEEE 754标准中的二进制浮点数精度及其相关问题给予较为详尽的解释。当然,文中任何错误由本人造成,由我...

2019-03-13 15:23:18 3625

原创 FPGA初值问题

信号在未赋值时候的初值与信号类型有关:如果是可以比较大小的整型或者实型,则赋给最小值;如果是不能比较大小的枚举类型,则赋给最左值。声明信号的时候给信号赋了初值,仿真器就会按照这个初值开始仿真,而有些综合器却是不理睬的,实际电路上电后是个随机值,然而有些综合器是敏感的,将会产生相应的锁存器来保证上电后的初值为你设定的值。...

2018-05-29 10:24:41 1842

原创 matlab 定点运算位增长方式

MATLAB定点运算时的位增长规则:首先:在fimath为默认值的状态下定义两个定点数据a和b>> get(fimath) RoundMode: 'nearest' RoundingMethod: 'Nearest' OverflowMode: 'saturate'...

2018-05-20 16:44:14 1342

原创 numerictype 和 fimath 的默认参数值

在MATLAB中做定点处理时所用到的两个对象numerictype 和 fimath 。他们的默认值又是多少呢?在MATLAB命令行窗口分别输入get(numerictype) 和get(fimath) 便可以得到他们的默认值>> get(fimath) RoundMode: 'nearest' Roun...

2018-05-20 14:48:11 2478

原创 MATLAB 舍入模式

在MATLAB中有如下的舍入模式:"Ceiling"朝正无穷大方向舍入正数和负数。等同于 MATLAB ceil 函数。“Convergent”将数值舍入到最接近的可表示值。如果出现对等情况,则舍入到最接近的偶数整数。等同于 Fixed-Point Designer™ convergent 函数。“Floor”朝负无穷大方向舍入正数和负数。等同于 MATLAB floor 函数。“Nearest”...

2018-05-20 13:14:32 1493

原创 MATLAB定点运算

近来,在用MATLAB定点算法,所以,现在想记录一下近来所学。一、MATLAB定点运算的实现方法据我个人现在的所学MATLAB定点运算的实现有两种方法:1、应用定点工具箱fixed-point converter。2、应用fi函数。今天只简单介绍一下fixed-point converter如何应用,fi函数的应用见我的另一篇文章。二、简单应用正如其名字一样这是转换工具箱,当我们写好浮点运算以后通...

2018-05-19 22:04:31 11833 7

vivado&matlab;版本匹配表.pdf

该文档包含了自v2013.1到v2018.3的vivado与matlab的匹配信息

2019-05-15

quartus ii 与MATLAB的版本匹配表

quartus ii 与MATLAB的版本匹配表,从quartus ii 12.0到quartus ii 18.0.

2019-04-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除