FPGA初值问题

信号在未赋值时候的初值与信号类型有关:如果是可以比较大小的整型或者实型,则赋给最小值;如果是不能比较大小的枚举类型,则赋给最左值。
声明信号的时候给信号赋了初值,仿真器就会按照这个初值开始仿真,而有些综合器却是不理睬的,实际电路上电后是个随机值,然而有些综合器是敏感的,将会产生相应的锁存器来保证上电后的初值为你设定的值。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值