VHDL 进程间的数据传递规则

VHDL规定不能在不同进程中同时对同一信号赋值。通过实例展示,当一个输出信号在两个进程中分别被初始化和赋值时,仿真结果错误。解决方法是避免对输出信号进行初始化,对自定义信号则可在定义时赋初值。
摘要由CSDN通过智能技术生成

“在VHDL中不允许在两个进程中同时对同一个信号进行赋值”,下面我们通过两个实例具体来解释一下这句话。

ENTITY sort IS
   PORT (
      
      clk   : IN STD_LOGIC;
      rst   : IN STD_LOGIC;
      out0  : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

   );
END sort;
ARCHITECTURE trans OF sort IS
begin
   PROCESS (clk)
   BEGIN
      IF (clk'EVENT AND clk = '1') THEN
         IF (rst = '1') THEN
            out0 <= "00000000";
         else
            ..................
            ..................
            ..................
         end if;

      end if;
   end process;

    process(clk)
    begin
      IF (clk'EVENT AND clk = '1') THEN
         IF (out_start = '1') THEN
            out0 <= out_temp(0);
            ................
            ................
            ................
         end if;
      end if;
    end process;

以上这段代码是对输出信号的操作,第一个进程(process)中是对输出信号

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值