vivado设计流程

vivado 是xilinx公司出的一款新型开发工具,与之前的ISE设计流程的区别就是它采用统一的数据模型。

vivado设计流程分为三部分,输入、综合、实现

输入可以为verilog /VHDL 的 .v文件和 .vhd 文件。 综合 用到第三方网表EDIF文件和 . xdc 的约束文件。 然后实现呢最重要的是 opt 、place、route Design。生成相应的.dcp 文件。就是图中红色标识。最后会有一个实时性评估,生成比特流。

这里写图片描述

输入设计: 可以C或C++通过 HLS 转化。也可以是DSp和IP Integrator。 这些可以直接包装成 ip核,xilinx也有许多自己的ip核,所以说vivado设计都是围绕着ip核展开的。

这里写图片描述

约束部分 Design checkpoint

这里写图片描述

vivado 设计分为两种模式,一种界面模式。一种命令行模式。各有优缺点吧。尺有所长、寸有所短。src中存放源文件,ip中存放ip核,xdc中存放约束文件,sim中存放的是仿真文件。

这里写图片描述

论文查重|论文降重|免费降重|降重网站

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值