EDA学习--Quartus II 9.1最基本的使用方法

Quartus II 9.1的最基础使用。

环境:Quartus II 9.1

==================================================================================================

==================================================================================================
创建工程
file->new project wizard,
修改以下内容,其他默认。
(1)选择保持的路径,填写工程名称。
(2)选择与实验箱相同的Device family。(我这里family选择Cyclone,Available devices选择EP1C6Q240C8。)

==================================================================================================
==================================================================================================
有两种输入方式,一种是HDL文本,另一种是原理图。

(一)HDL文本输入方式
(1)file->new->design files ->VHDL File
(2)编码
ENTITY mux21a IS
PORT(
a:IN BIT;
b:IN BIT;
s:IN BIT;
y:OUT BIT
);
END ENTITY mux21a;

ARCHITECTURE one OF mux21a IS
BEGIN
y<=a WHEN s=’0’
ELSE b;

END ARCHITECTURE one;
(3)保存 。(保存的名称需要与代码中使用的相同,这里是mux21a)
(4)start compilation
(5)配置
Assignment->Assignment Editor
在to那一列的new那里右键->Node finder
然后
图1

然后,根据需要设置值
图2

(6)start compilation

(二)原理图输入
(1)file->new->design files->block diagram/schematic file
(2)作图
symbol tool选择需要的器件,再用线连接起来
(3)保存 Block1.bdf
(4)start compilation

==================================================================================================

==================================================================================================
波形仿真
(1)file->new->verilication/Debugging files->vector waveform file
(2)右键,insert->insert none or bus ,选择node finder,和上面的图1一样设置。然后都选OK
(3)设置波的周期,这里把a,b,s设置成不同的值,方便查看
选中一个变量,然后选择overwrite clock ,然后修改period的值
(4)使用zoom tool,按左右键 可以改变波形的显示大小。
(5)保存
(6)processing->start simulation

==================================================================================================

==================================================================================================

下载
(1)tools->programmer
(2)选择hardware setup,下拉框选择usb
(3)program/configure 打钩
(4)实验箱上电,我这里需要设置模式为5
(5)start

==================================================================================================

==================================================================================================
生成jic文件
(1)File->ConvertPrograming Files
(2)
图3

(3)
图4

图5

(4)

图5

然后选择*.sof

(5)generate
这样就会生成jic文件,下载到实验箱,重启不会丢失

==================================================================================================

附:实验箱驱动安装
不要自动安装,而是手动选择下面这个位置。
quartus9\quartus\drivers\usb-blaster
如果已经自动安装了,可以先卸载了再重新安装

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值