Quartusii9.1调用(联调)ModelSim-Altera 6.5b (Quartus II 9.1) Starter Edition

准备工作:

       新手,和我一样的,建议从http://www.altera.com/下载QuartusII 9.1ModelSim-Altera 6.5b ,本人使用网络版,有破解的可以使用破解版。闲话少说,进入正题!

第一步:打开Quartus9.1 II,如图1  

 

1

第二步:新建一个工程,直接点击Finish完成(可以先不选择芯片等),如图2

 

2

 

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 23
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 23
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值