加法器的优化——算术逻辑运算——计算机组成原理

1.行波进位加法器(RCA):

1.特点:

只有前一个运算结果输出结果后,后一个运算器才可以进行运算;
在这里插入图片描述

在这里插入图片描述

2.内部结构(门电路):

关键路径(经过门最多的路径)分析:
被每个门延迟记为T:
在这里插入图片描述

3.RCA性能分析:

在这里插入图片描述

2.优化加法器-超前进位加法器(CLA):

1.进位输出信号:

在这里插入图片描述

2.提前计算进位输出信号:

在这里插入图片描述

3.硬件实现—超前进位加法器:

在这里插入图片描述

3.32进位加法器的实现:

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值