VHDL
BruderLung
生活是一个顽皮的孩子,一时开心,一时嬉戏,一时泪流满面。我要加油^0^~
展开
-
4线⸺2线优先级编码器
library ieee;use ieee.std_logic_1164.all;entity encode 4_2 is port(input:in std_logic_vector(3 downtown 0); output:out std_logic_vector(1 downto 0));end encode 4_2;architecture encode 4_2_b...原创 2019-05-06 09:55:34 · 8412 阅读 · 0 评论 -
8线—3线优先级编码器
library ieee;use ieee.std_logic_1164.all;entity encode 8_3 is port(input: in std_logic_vector(7 downto 0); output: out std_logic_vector(2 downto 0));end encode 8_3;architecture encod...转载 2019-05-06 17:33:21 · 10969 阅读 · 0 评论 -
4线-2线优先级编码器(含使能端且高电平有效)
真值表:EN X3 X2 X1 X0 EF Y1 Y0 0 X X X X 1 0 0 1 1 X X X 0 1 1 1 0 1 X X 0 1 0 1 0 0 1 X 0 ...原创 2019-05-06 23:14:20 · 12238 阅读 · 0 评论