4线⸺2线优先级编码器

 


 真值表:

                                 输入                      输出
X3X2X1X0Y1Y0
000100
001X01
01XX10
1XXX11

 


VHDLH实现:

library ieee;
use ieee.std_logic_1164.all;
entity encode 4_2 is
   port(input:in std_logic_vector(3 downtown 0);
   output:out std_logic_vector(1 downto 0));
end encode 4_2;
architecture encode 4_2_behavior of encode 4_2 is
begin
  process(input)
  begin
    if input(3)='1' then
      output<=“11”;
    elsif input(2)='1' then
      output<=“10”;
    elsif input(1)='1' then
      output<=“01”;
    elsif input(0)='1' then
      output<=“00”;
    else
      null;
    end if;
  end process;
end encode 4_2_behavior;

 注意:该编码器没有使能端,后文中加上了使能端。

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值