VHDL 键盘扫描程序,用状态机实现

--/*******************************************************************
-- *
-- *
-- *    AUTHOR:
-- *
-- *    HISTORY:
-- *
-- *******************************************************************/

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;

ENTITY ScanKey IS
PORT (clk,reset : IN std_logic;
   KeyIn  : IN std_logic_vector(3 downto 0);
   led  : OUT std_logic_vector(3 downto 0);
   KeyOut : OUT std_logic_vector(1 downto 0));
END ScanKey;


ARCHITECTURE behave OF ScanKey IS
 SIGNAL led_reg  : std_logic_vector(3 downto 0);
 SIGNAL KeyOut_reg : std_logic_vector(1 downto 0);
 SIGNAL clk_div  : std_logic;
 SIGNAL Key_reg  : std_logic_vector(3 downto 0);
 SIGNAL KeyIn_reg  : std_logic_vector(3 downto 0);
 SIGNAL clk_div_s : std_logic;
 CONSTANT CLK_DIV_RANGE  :integer := 16384;
 CONSTANT CLK_DIV_C   :integer := 100

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值