乐鑫科技2020届秋招-数字IC类真题

最近在牛客网刷数字IC真题,看到乐鑫科技2020秋招真题,做完发现有的人首先用c语言模拟输入输出参考模型用作verilog输入输出真值表参数,然后根据真值表算出输入输出各位之间的关系,这显然在现实笔试时是不可取的,时间浪费太多不说,就怕面试官不允许。那么如果不用这种办法,应该如何解决,答案是用generate并行例化多次模块。
题目:请将下面这段 C 语言描述的串行处理过程,转换为单拍完成的并行处理,并用可综合的 Verilog 来描述。

unsigned char cal_table_high_first(unsigned char value)
{
	unsigned char i ;
unsigned  char checksum = value ; 
    for (i=8;i>0;--i)
    {
        if (check_sum & 0x80)
        {
            check_sum = (check_sum<<1) ^ 0x31;
        }
        else
        {
            check_sum = (check_sum << 1);
        }
    }
    return check_sum;
}

题目的难点在于如何处理好上一次的check_sum输入与其输出之间的关系,所以我们首先可以定义8个8位宽二维的数组,8个即for循环要处理8次,为什么位宽为8,因为unsigned char型为1个字节即8bit,定义wire [7:0] r_check [7:0];用于存储上一个输入与下一个输出。然后设计循环内部模块,其实就是个简单的判断赋值语句,如下:

module check(
    input  [7:0] value,  
    output [7:0] checksum
    );
assign checksum = (value & 8'h80)?(value<<1)^8'h31:value<<1;
endmodule

整体代码如下所示:
不过要确保第一次例化输入为value[7:0],然后再将最后一次r_check[7][7:0]作为模块的输出。

module check_sum(
    input      [7:0] value,
    output     [7:0] checksum
    );
    wire [7:0] r_check [7:0];
    assign checksum = r_check[7];
    check u0_check(value,r_check[0]);
    genvar i;
    generate begin:inst_loop
        for(i=0;i<7;i=i+1)begin
            check u_check(r_check[i],r_check[i+1]);
        end
    end
    endgenerate
endmodule

module check(
    input  [7:0] value,  
    output [7:0] checksum
    );
assign checksum = (value & 8'h80)?(value<<1)^8'h31:value<<1;
endmodule

最后仿真输入输出:
simulation

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Iceeeewoo

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值