自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(357)
  • 资源 (4658)
  • 收藏
  • 关注

转载 2017年华侨大学828c语言,2020考研华侨大学828C语言硕士研究生入学考试大纲

2020重庆考研交流2群 6894508682020年全国硕士研究生入学考试命题标准大纲已于7月8日正式公布,接下来全国各研招院校将陆续发布2020考研专业课大纲。以下是重庆中公考研小编整理的“2020考研华侨大学828C语言硕士研究生入学考试大纲”相关内容,以供各位考生参考。一、考试形式与试卷结构(一)试卷满分值及考试时间本试卷满分为150分,考试时间为120分钟。(二)答题方式答题方式为闭卷...

2021-05-21 06:40:50 123

转载 肖乐 c语言程序设计,肖 乐

一、发表的代表性论文:[1]肖乐,郭天池,甄彤,张校铭.基于蚁群算法的粮食应急调度问题研究[J].计算机工程, 2011,20(37).10-15.[2]Xiao Le,ect. A hybrid ant colony algorithm for the grain distribution centers location. Emerging intelligent computing tech...

2021-05-21 06:25:33 168

转载 c语言uart串口通讯,uart串口通信c语言实现

通信,按照传统的理解就是信息的传输与交换。对于单片机来说,通信则与传感器、存储芯片、外围控制芯片等技术紧密结合,成为整个单片机系统的“神经中枢”。没有通信,单片机所实现的功能仅仅局限于单片机本身,就无法通过其它设备获得有用信息,也无法将自己产生的信息告诉其它设备。如果单片机通信没处理好的话,它和外围器件的合作程度就受到限制,最终整个系统也无法完成强大的功能,由此可见单片机通信技术的重要性。UART...

2021-05-17 21:37:58 3593

转载 linux umount home,umount时目标忙解决办法

在删除osd后umount时,始终无法umonut,可以通过fuser查看设备被哪个进程占用,之后杀死进程,就可以顺利umount了。[root@node2 ~]# umount /var/lib/ceph/osd/ceph-1umount: /var/lib/ceph/osd/ceph-1:目标忙。(有些情况下通过 lsof(8) 或 fuser(1) 可以找到有关使用该设备的进程的有用信息)[...

2021-05-15 05:53:55 2709

转载 linux虚拟内存结构,linux虚拟内存和物理内存

虚拟内存的由来为什么会出现虚拟内存呢?这就要从最初的操作系统来说起了,最初的操作系统并没有现在那么完善,刚开始的时候,程序是直接装载到物理内存中的。这就导致了下面的一些问题:程序编写困难。修改内存数据导致程序崩溃。先说问题1、为什么会导致程序编写困难呢?因为,操作系统是同时运行好多程序的,编写的程序是直接操作物理内存的,编写的时候就要考虑,自己的程序操作的内存地址,是否已经被其他程序占用了,如果被...

2021-05-13 22:02:48 92

转载 bt客户端linux,Ubuntu 16.04安装BT客户端Transmission 2.84

Transmission 是 Ubuntu 的默认 BitTorrent 客户端,近期发布了最新的 Transmission 2.90 版本,目前已经可通过 PPA 为 Ubuntu 15.10、Ubuntu 14.04 和 Ubuntu 12.04 进行升级安装。事隔 N 久,此次更新的 Transmission 2.90 带来了 miniupnpc API v14、CyaSSL/WolfSSL...

2021-05-13 05:24:23 311

转载 linux 管理windows,一种Linux作业管理方法及其Windows客户端与流程

本发明涉及计算机应用技术领域,具体涉及一种Linux作业管理方法及其Windows客户端。背景技术:在高性能计算领域,计算作业主要由Linux系统组成的计算机集群完成。而普通用户主要使用Windows系统进行工作。在计算时,用户通过SSH客户端(比如PuTTY)登录到Linux集群的管理节点,上传或编写输入文件,提交计算作业到作业调度系统,监控作业,查看并下载完成的作业结果。这一系列过程都需要输入...

2021-05-11 02:50:40 138

转载 adb shell是挂载到linux空间,第三方Root之后无法直接使用adb root/remount然后push系统文件...

/*** | ($rootDir)* +- /data -> Environment.getDataDirectory()* | |* | | ($appDataDir)* | +- data/$packageName* | |* | | ($filesDir)* | +- files ...

2021-05-07 06:19:57 220

转载 小车舵机控制linux软件,在Linux(Ubuntu)上使用串口控制ROBOTIS Dynamxiel舵机

声明:此文档版权归属于北京小芽科技,可以转载,但要声明出处(北京小芽科技博客xiaoyatec.com)硬件配置:1、USB2Dynamixel串口模块2、SMPS2Dynamixel电源模块3、12V5A直流电源4、Dynamixel舵机软件配置:1、roboplus (windows端)2、cutecom (Ubuntu linux端)这篇文章主要给大家介绍怎样用linux串口控制roboti...

2021-05-06 15:52:08 916

转载 深度理解linux内核零拷贝,深入理解零拷贝技术实现原理(从表象到Linux内核文件管理机制的底层实现)...

(一)先了解一下什么是零拷贝,以及零拷贝的优点?(1 )零拷贝其实就是从用户端发起一次需要用到磁盘文件的请求的时候,会通过网络IO进行后去你想要的文件,但是你要知道程序都是运行在Linux机器上的,而且这件事情也是又程序去做的,因为传统的IO需要拷贝四次,所以就有了网络IO的问题,那么瓶颈也就出了。为了优化这一操作,把IO四次拷贝通过优化到2 次拷贝,就称为“零拷贝”,传统的具体的传统流程是:**...

2021-05-06 01:20:46 151

转载 深度linux软件下载,Deepin Linux15.5下载

Deepin Linux15.5是一款可以供全球用户进行使用的Linux操作系统,该系统具有美观易用、安全可靠等优势,并且15.5版本新增了高分屏、指纹扫描和Flatpak应用格式的支持,同时它还新增了触摸板手势、Wi-Fi热点分享及色温调节等功能,如果你对该版本有需求的话,可以下载进行使用。【功能特色】全面高清适配,给你完美体验深度桌面环境以及各个组件全面支持适配高分屏。自动识别高分屏上合适的分...

2021-05-05 04:44:53 308

转载 linux终端显示打印记录,Ubuntu使用-记录终端输出的LOG

先要说明为什么要记录终端会话,因为常会遇到这样的情况,终端是有缓存大小限制的,当在终端打印的消息超出缓存范围,它前面的打印消息就自动丢失了,这对于我们调试程序会造成障碍,所以有记录完整终端打印消息的必要!1使用script记录Linux终端会话script是大多数Linux产品util-linux软件包的一部分。script记录会话的一切内容:你输入的内容和你看到的内容。它甚至记录颜色;因此如果你...

2021-05-03 18:14:30 2944

转载 linux切割访问日志,linux shell命令切割nginx访问日志

小小菜鸟脚本,菜鸟思维,见谅!!!一、首先是日志切割#! /bin/bush########################################################################################方法封装,切割日志#author:谭家俊 date:2015-08-20 funtion:linux按天分割nginx访问日志,并且保3天内...

2021-05-02 07:04:58 81

转载 linux编译器与vs编译器,Linux编译器安装Vscode

在Windows下用VS用了2年,在Linux下用了Vim半年,第一次安装Vscode,被虐的哭笑不得,写一篇博客来纪录纪录吧。1、安装步骤:1.1安装Ubuntu Make:sudo add-apt-repository ppa:ubuntu-desktop/ubuntu-makesudo apt-get updatesudo apt-get install ubuntu-make1.2安装Vs...

2021-05-02 06:31:53 175

转载 linux服务器ftp虚拟用户权限,linux 搭建ftp服务器虚拟用户

一,设置虚拟用户俩个部门的用户对只对自己部门的文件有相应权限u1 销售部 u2 技术部销售部可以上传下载,新建删除等,技术部只有上传和下载的权限1,建一个方用户的文本文件vi/etc/vsftpd/xuni.txt 添加格式 奇数行为用户名,偶数行为密码用户1u1密码123456用户2u2密码1234562,生成数据库文件需要安装db4-utils工具 要不没有db_load命令[root@zha...

2021-05-01 02:59:48 257

转载 linux 内核漏洞 2018,Linux发行版高危严重漏洞 CVE-2018-14665

安全研究人员在X.OrgServer软件包中发现了一个影响主要Linux发行版的高度严重漏洞(CVE-2018-14665)。安全研究员NarendraShinde在X.OrgServer软件包中发现了一个非常关键的漏洞(CVE-2018-14665),它影响了主要的Linux发行版,包括OpenBSD,Debian,Ubuntu,CentOS,RedHat和Fedora。XorgX项目提供了XW...

2021-05-01 00:31:04 747

转载 linux系统怎么关闭屏保,Linux关闭屏保

想让某台Linux主机始终显示某个程序,显示器不能关机或者休眠或进入屏保模式。环境:kali ARM版,xwin为xfce4(非gnome)。因为X的屏幕保护,电源管理机制跟gnome不一样。所以无法使用对gnome的一套工具进行设置。所幸发现了名为xset的小工具xset程序主要对启动X windows以后,对x windows属性进行设置的。具体用法可使用 man xset进行查看。此处不再描...

2021-04-29 23:03:48 3954

转载 linux 追踪 进程栈信息,Linux查看进程栈信息

今天在Linux上调试程序程序的时候发现有时候程序会莫名其妙的hang住,于是就想能不能找到当时程序有那些线程,都在做什么。找了一下linux命令,还真可以满足我的需求。下面看一个小例子。先准备一段程序,为了简单起见这里使用python来写,其中创建了两个线程来执行各自的任务。import threadingimport timedef test1():while(True):time.sleep...

2021-04-29 17:31:14 539

转载 非系统盘安装linux,(真实主机,而非虚拟机)U盘安装CentOS7

一.安装前准备:1.centos 7.0 64位系统:CentOS-7.0-1406-x86_64-DVD.iso2.ultraiso3.一个至少8G的U盘。二. 安装步骤:1.先使用ultraiso 刻录镜像至U盘内(刻录时选择格式化成FAT32格式)2.删除刻录u盘根目录的Packages文件夹,拷贝CentOS-7.0-1406-x86_64-DVD.iso到U盘根目录。我的U盘是8GB,...

2021-04-29 09:11:09 783

转载 昌吉php招聘,昌吉php培训

假如企业希望在云计算的新平台上完成数据仓库和数据集市的古代化,那么请留意,这并不像人们想象的那么容易。22 内存共享的首选后台.留空则是让零碎选择.opcache.preferred_memory_model=1.首先预备搭建环境装置httpd、php、php-server、mysql-server。,昌吉php培训。[root@master ~]# /etc/init.d/mysqld rest...

2021-04-15 00:23:03 102

转载 oracle异常分为,Oracle异常分类小记

Oracle异常分类小记以下文字资料是由(历史新知网www.lishixinzhi.com)小编为大家搜集整理后发布的内容,让我们赶快一起来看一下吧!前几天看《Oracle PL/SQL Programming》的异常处理部分 粗粗看了一遍 觉得有点乱 根据自己的理解作了一下分类 并相应给了一些简例 不一定很准确 供参考Oracle异常具名Oracle异常Oracle为此类异常预先指定了异常号 异...

2021-04-10 15:24:30 229

转载 php与jquery分页实现原理,利用jQuery与PHP怎么对发布的内容进行无刷新分页

利用jQuery与PHP怎么对发布的内容进行无刷新分页发布时间:2020-12-16 15:31:32来源:亿速云阅读:64作者:Leah这篇文章给大家介绍利用jQuery与PHP怎么对发布的内容进行无刷新分页,内容非常详细,感兴趣的小伙伴们可以参考借鉴,希望对大家能有所帮助。Fckeditor编辑器有一个插入分页符的功能按钮,点击该按钮,将会在内容区插入一条分页符,如下图红色框标注:分页符对应生...

2021-04-06 18:40:29 155

转载 php手机图文发布系统,基于php+shell的发布系统

一.发布系统要解决的问题一个比较完善的发布系统首先肯定是要能发布文件,其次当发布出现问题时要能支持撤销,避免长时间无法解决问题影响产品的正常运营。对于发布脚本语言如PHP,shell加入语法检查,有语法错误阻止本次发布。二.原理我们正在使用的发布系统基于PHP+shell开发,发布的时候填好文件列表,从当前机器A发布到目标机器B,发布之前A机器通过ssh协议认证登陆到B机器执行shell命令备份待...

2021-04-06 18:35:07 110

转载 oracle 错误 1013,imp时遇到的错误ora-1013

数据库版本都是8.1.7.4导入命令imp system/manager fromuser=xxxtouser=xxx file=xxx.dmp log=xxx.log buffer=2097152出现以下错误. . importing table "TA_BAGTAGNO" 4555627 rows importedIMP-00017: followi...

2021-04-03 07:40:44 2140

转载 file.php https,带有https的PHP file_get_contents参数返回false

php.net中有关url的所有示例都只有使用http而不使用https进行了演示。我真的不明白为什么没有用https来证明这一点。 file_get_contents对于http URL返回true,对于https URL为false返回false。带有https的PHP file_get_contents参数返回false我是否需要在执行此功能的服务器中启用某些功能?我知道这是一个逻辑错误,但...

2021-03-28 10:16:08 542

转载 酷家乐java开发,【Electron】酷家乐客户端开发实践分享 — 入坑篇

本文的初衷Electron所使用的技术栈(JavaScript、NodeJs、HTML、CSS)和web前端工程师完美契合。于是,越来越多的前端工程师,用Electron来开发桌面客户端的开发,我也是其中的一员。虽然Electron技术栈对前端工程师比较友好,但是概念较多,和web前端开发还是有很大差别的,写个入坑指南希望能帮助读者快速上手Electron。了解客户端首先抛出一个问题,web应用是...

2021-03-27 23:00:20 900

转载 php vendor 删除,magento - php bin/magento setup:di:compile - 出错 - 删除 vendor/temando 目录后补回...

现象:magento2一键包的文件,在执行 php bin/magento setup:di:compile 命令时出错,提示:PHP Fatal error: Declaration of TemandoShippingModelResourceModelCarrierCollection::fetchData() must be compatible with TemandoShipping...

2021-03-26 17:50:54 365

转载 $ppclass php,CentOS6.6+Puppet3.7.3分布式部署Zabbix2.4監控系統

OS:CentOS-6.6-x86_64(Minimal)Puppet3.7.3Zabbix2.4Puppet/Zabbixmaster:master/zabbix.redking.comPuppet/Zabbixagent:agent1~5.redking.comPuppet安裝1.服務端安裝puppet-serverpuppet不在CentOS的基本源中,需要加入PuppetLa...

2021-03-25 10:50:56 75

转载 student.php,student.php

if (!isset($_COOKIE['account'])) exit('身份验证过期!请先登录!');$link = mysqli_connect('localhost', 'lyf', 'root', 'schooldata');mysqli_query($link, 'set names utf8');if(!$link){echo "alert('服务器连接失败!');";echo "...

2021-03-25 07:56:28 121

转载 php读取csv文件并导入数据库,PHP读取CSV大文件导入数据库的方法

本篇文章主要介绍PHP读取CSV大文件导入数据库的方法,感兴趣的朋友参考下,希望对大家有所帮助。PHP如何对CSV大文件进行读取并导入数据库?对于数百万条数据量的CSV文件,文件大小可能达到数百M,如果简单读取的话很可能出现超时或者卡死的现象。为了成功将CSV文件里的数据导入数据库,分批处理是非常必要的。下面这个函数是读取CSV文件中指定的某几行数据:/*** csv_get_lines 读取CS...

2021-03-24 06:49:35 624

转载 php日期选择不能用,PHP-MySQL按不同的日期选择,有日期限制,但没有条目

我正在尝试使用php从mysql数据库的更新表中获取条目.每个条目都有一个附加的时间戳.首先,我从时间戳中获取10个不同的日期,使用这10个唯一的日期创建一个新数组(之所以这样做,是因为fetchAll将日期放入一个多维数组中),然后执行另一个数据库调用,以获取具有这些日期的所有条目.可以返回10个以上的条目.我希望它有10个不同的日期,而不是10个不同的时间戳.例如,如果2012-03-25出现...

2021-03-23 21:46:40 85

转载 java数字转换32位字符串,Java 数字转换为 字符串

学习相同的内容每次看都有新的发现,本文主要介绍java 中的转换,但是好多的方法在不同的语言中是通用的不知道大家有没有深入的学习过Java 的源码,或看看Java的 API 帮助手册先解决问题,double类型的数字如何转换为 String类型三种方式:第一种用的比较多//第一种方式通过ToString() 方法, Double 就是一个包装类String s1 = Double.toStrin...

2021-03-22 09:08:54 811

转载 matlab fidin,matlab 读写基本知识

特别说明:由于大家在I/O存取上以txt文件为主,且读取比存储更麻烦(存储的话fwrite, fprintf基本够用),因此下面的讨论主要集中在“txt文件的读取”上。除了标注了“转”之外,其余心得均出于本人经验之结果,欢迎大家指正、补充。一.基本知识:--------------------------------------------------转----------------------...

2021-03-22 08:30:17 919

转载 php 量化交易 开源,hikyuu开源量化交易研究框架 v1.0

Hikyuu Quant Framework是一款基于C++/Python的开源量化交易研究框架,用于策略分析及回测(仅受限于数据,如有数据也可用于期货等)。其核心思想基于当前成熟的系统化交易方法,将整个系统化交易抽象为由市场环境判断策略、系统有效条件、信号指示器、止损/止盈策略、资金管理策略、盈利目标策略、移滑价差算法七大组件,你可以分别构建这些组件的策略资产库,在实际研究中对它们自由组合来观察...

2021-03-19 22:00:09 616

转载 php 脚本调试,调试php脚本

我正在使用textpad创建php脚本。现在有什么我可以使用的文本板,或者有没有办法使用textpad进行调试。我是一些我的代码回声,我仍然没有得到我想要我的网页做的结果。所以我想我的代码需要一些调试。我将发布下面的代码,我相信你们中许多人会同意它也需要调试。我知道很多人都有理由说我不应该使用我正在使用的东西,但这是我正在使用的东西。function dbConnect(){// Connect ...

2021-03-19 02:48:11 79

转载 php中curl操作,PHP的CURL操作

最近一小伙伴问我API怎么发起请求的,我第一个想到的就是CURL,这里的话就总结下自己的学习笔记。CURL是一个利用URL语法规定来传输文件和数据的工具,支持很多协议,如HTTP、FTP、TELNET等。最爽的是,PHP也支持CURL 库。这里说下在PHP中如何运用它来帮助自己完善项目刚好在项目中用到CURL来获取一些信息的。项目需要:是从某局获取注册信息名字是否存在。然后需求的文档是:请求地址:...

2021-03-17 20:40:15 249

转载 matlab 编程波形图,实验一MATLAB编程环境及其常用信号的生成及其波形仿真.doc

word格式精心整理版范文范例 学习指导实验一 MATLAB编程环境及常用信号的生成及波形仿真一、实验目的1、学会运用Matlab表示常用连续时间信号的方法2、观察并熟悉这些信号的波形和特性:3、实验内容:编程实现如下常用离散信号:单位脉冲序列,单位阶跃序列,矩形序列, 实指数序列,正弦序列,复指数序列;二、实验原理及实例分析2、如何表示连续信号?从严格意义上讲,Matlab数值计算的...

2021-03-17 18:13:33 1119

转载 php+odbc+插入数据,PHP如何透过ODBC来存取数据库

PHP如何透过ODBC来存取数据库发布时间:2016-06-17 来源: 点击:次使用的环境先建立一个测试用的数据库接着建立一个ODBC连结再建个测试用的PHP Script咱们来测试吧使用的环境本文件主要是在Win32的环境下作说明, 您需要的是台跑Windows 9x/NT/2000的计算机, 并装有任何一种web server和PHP3或PHP4, 且可正确执行PHP Script....

2021-03-16 19:15:26 172

转载 包管理器安装php,PHP包管理工具Composer的安装与使用(Windows环境)

推荐文章包名字可以和目录名字 可以不一样, 但是推荐一样,便于快速查找识别到包,否则容易误解一个目录中只包含一个包、包含多个包会报错一个包可以放在多个文件中、包文件只放在src文件目录下,通过GOPATH引用、否则引用不到。import只要引用到目录的名字就够了、不需要引用到文件名、也不需要引用到报名.具体规则如下推荐文章glide包管理glide包管理新建文件编辑内容大致如下字段含义执行命令推荐...

2021-03-16 16:49:15 200

转载 java 静态 非静态,JAVA静态块和非静态块的区别

JAVA静态块和非静态块的区别//静态块(static block):如下所示public class StaticBlock1{static{System.out.println("static block");}public static void main(String[] args){System.out.println("main");}}/**静态块是在类被调用到内存后开始执行的,它和...

2021-03-14 15:43:34 89

业务动态部署能力-新安装的xampp访问phpmyadmin出错的解决方法

(1)数据访问标准化层 对业务领域模型的持续重构,可以抽象出通用的平台SDK(Software Development Kit)。按数据流处理的方式,把投放业务开发流程抽象为数据召回、数据排序、数据过 滤、数据补全等流程步骤。SDK暴露给上层业务开发一套简洁的DSL(Domain Specific Language),以满足上层业务快速开发的需求。 (2)业务动态部署能力 业务快速变化,需要有业务代码快速上线的能力。投放系统构建了一套Web开发环境; 动态编译业务代码,秒级发布上线。业务量快速增长,数以千计的业务代码共享同一个系统 资源池,需要管理每个业务使用的资源配额,隔离业务运行环境,避免业务间的相互影响。 应对活动大促流量峰值,业务代码的性能问题至关重要,人工的业务压测已经没办法在有限 的时间内完成,自动化业务压测系统应运而生。 (3)通用性打底 和容灾能力 打底,投放系统不产生数据,而是数据的搬运工,数据源头如果不稳定,会影响整个用 户的体验,于是投放统一打底应运而生。 容灾,投放采用异地多单元部署,如果某个机房断电,或者网络不通,可以在统一接入

2021-07-12

由ss[]表极造gs[]表-sae j1772-2017

图11.15 由ss[]表极造gs[]表 第二种情况如图(b)所示,设该位置j满足: ss[j]  j 也就是说,MS[j]只是P[0, j]的一个真后缀。此时,对于字符P[m - ss[j] - 1]而言,对应 于如图11.12(c)所示的情况,若同时还满足: P[m - ss[j] - 1]  P[ j - ss[j] ] 则m - j - 1也应是gs[m - ss[j] - 1]取值的一个候选。 反过来,根据此前所做的定义,每一位置i所对应的gs[i]值只可能来自于以上候选。进一 步地,既然gs[i]的最终取值是上述候选中的最小(最安全)者,故仿照构造bc[]表的画家算法, 累计用时将不超过O(m)(习题[11-6])。  ss[]表的构造 由上可见,ss[]表的确是构造gs[]表的基础与关键。同样地,若采用蛮力策略,则对每个 字符P[j]都需要做一趟扫描对比,直到出现失配。如此,累计需要O(m 2 )时间。 为了提高效率,我们不妨自后向前地逆向扫描,并逐一计算出各字符P[j]对应的ss[j]值。 如图11.16所示,因此时必有P[j] = P[m - hi + j - 1],故可利用此前已计算出的ss[m - hi + j - 1],分两种情况快速地导出ss[j]。在此期间,只需动态地记录当前的极长匹配后缀: P(lo, hi] = P[m - hi + lo, m) 第一种情况如图(a)所示,设: ss[m - hi + j - 1]  j - lo 此时,ss[m - hi + j - 1]也是ss[j]可能的最大取值,于是便可直接得到: ss[j] = ss[m - hi + j - 1]

2021-07-12

滑动窗口-深信服scsa认证考试总题库

20.3 滑动窗口 图2 0 - 4用可视化的方法显示了我们在前一节观察到的滑动窗口协议。 图20-4 TCP滑动窗口的可视化表示 在这个图中,我们将字节从 1至11进行标号。接收方通告的窗口称为提出的窗口( o ff e r e d w i n d o w),它覆盖了从第 4字节到第9字节的区域,表明接收方已经确认了包括第 3字节在内的 数据,且通告窗口大小为 6。回顾第1 7章,我们知道窗口大小是与确认序号相对应的。发送方 计算它的可用窗口,该窗口表明多少数据可以立即被发送。 当接收方确认数据后,这个滑动窗口不时地向右移动。窗口两个边沿的相对运动增加或 减少了窗口的大小。我们使用三个术语来描述窗口左右边沿的运动: 212使用TCP/IP详解,卷1:协议 下载 提供的窗口 由接收方通告 可用的窗口 发送并被确认 发送,但未被确认 直至窗口移动 不能够发送, 能够发送ASAP

2021-07-12

在线连接-cp340模块使用手册

2.5 在线连接 2-7 2 2.5 在线连接 设定电脑和机器控制器之间的通信条件。 有关通信设定的步骤,请参照以下手册。 MP2000/MP3000 系列 机器控制器系统 安装手册 (资料编号:SIJP C880725 00)

2021-07-11

创建项目-cp340模块使用手册

2.3 创建项目 2-5 2 2.3 创建项目 项目创建的步骤如下。 1. 双击电脑桌面上的如下图标,启动 MPE720 Ver.7。 2. 点击 Start 标签下的 New。 3. 指定文件名、保存文件的位置、机器控制器系列及机型。 ①在 Save in 栏内指定文件的保存位置。 ②在 File name (N):栏内输入文件名。 ③在 Series 栏内选择对应系列。 ④在 Controller 栏内选择对应机型。 4. 点击 Create 按钮。 ① ③ ②④

2021-07-11

平衡连贯和协-arm嵌入式linux系统构建与驱动开发范例-高清文字版

黄金比例)常被用来评判人脸是否美丽,在自然界中类似的例子更是比比皆是(Huntley 1970)。一般来说那些匀称、统一、平衡、连贯和协 调的东西总是具有普遍的吸引力。 当和谐的现状被扰乱时人们总是自然而然地倾向于重建秩序。狄德罗效应(Diderot Effect)(McCracken 1988)正是描述了这样一种因引 入一个不相匹配的部分而导致若干改变的多米诺骨牌现象。场景因法国哲学家丹尼斯狄德罗收到一件新睡袍而起,这件优雅的红色睡袍与他简 陋、杂乱的书房极不协调,以致他不得不将书房完全装修了一次才使得那儿看起来能与睡袍的优雅登对。 关于美的这两个观点——比例和平衡,能被应用于软件方法之中。软件方法是活动、实践、角色、工具和工作成果等一系列元素的集合, 而美的软件方法会综合考虑诸如项目风险、复杂程度和团队构成等因素,然后将各个成分按照它们的顺序、进度和大小统一分配(Cockburn 2002)。 软件方法的各个成分相互依赖。改变其中一个就会次第影响其他;项目气息(Andrea等2002)提醒我们实践何时出现了脱节。美的软件方 法会紧跟气息的连锁反应直到这些相互依赖的实践达到平衡为止。

2021-07-11

递归就是在过程或函数里调用自身-基于小波变换的通信信号码元速率估计

(1)递归就是在过程或函数里调用自身; (2)在使用递归策略时,必须有一个明确的递归结束条件,称为递归出口。 递归算法一般用于解决三类问题: (1)数据的定义是按递归定义的。(比如Fibonacci函数) (2)问题解法按递归算法实现。(回溯) (3)数据的结构 形式是按递归定义的。(比如树的遍历,图的搜索) 递归的缺点:递归算法解题的运行效率较低。在递归调用的过程当中系统为每一层的返回点、局部量等开辟了栈来存储。递归次数过多容易造成栈溢出等。 示例:斐波那契数列 斐波那契数列由十三世纪意大利数学家斐波那契发现。数列中的一系列数字常被人们称之为神奇数奇异数。具体数列为:0,1,1,2,3,5,8,13,21, 34,55,89,144,233等,从该数列的第三项数字开始,每个数字等于前两个相邻数字之和。而斐波那契数列中相邻两项之商就接近黄金分割数0.618,与 这一数字相关的0.191、0.382、0.5和0.809等数字就构成了股市中关于市场时间和空间计算的重要数字。 在金融市场的分析方法中,斐波那契数字频频出现。例如,在波浪理论中,一轮牛市行情可以用1个上升浪来表示,也可以用5个低一个层次的小浪来表示, 还可继续细分为21个或89个小浪;在空间分析体系中,反弹行情的高度通常是前方下降趋势幅度的0.382、0.5、0.618;回调行情通常是前方上升趋势的 0.382、0.5和0.618。 In [8]: def fib(num): result=[0,1] for i in range(num-2): result.append(result[-2]+result[-1]) return result print (fib(15)) [0, 1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89, 144, 233, 377] In [10]: import time start = time.clock() def fib(n): if n<=2:return 1 else: return fib(n-1)+fib(n-2) print (fib(20)) end = time.clock() print ("read: %f s" % (end - start)) 6765 read: 0.000000 s In [ ]: start = time.clock() def fib(n): return 1 and n<=2 or fib(n-1)+fib(n-2) print (fib(20)) end = time.clock() print ("read: %f s" % (end - start))

2021-07-11

安装说明-【tapd敏捷研发】快速上手指南

1.4 安装说明 机器人主要由六个机器人关节和两根铝管组成,将机器人的机座与机器人的工具相 连。在这样的结构下,工具就能够在机器人工作空间平移和旋转。下面章节将介绍安装 机器人系统的各个部件时应注意的基本事项。 1.4.1 机器人工作空间 UR5 机器人的工作空间是指机座关节周围 850 mm 范围内的区域。机器人工作空 间如图 1.2 所示。应尽可能避免工具移近机器人正上方和正下方圆柱体的工作空间,否 则,即使工具移动速很慢,也会导致机器人关节快速移动。因此,选择机器人安装位置 时,需考虑注意该圆柱体附近的工作空间对机器人运动的影响。 1.4.2 安装机器人 使用 4 颗 M8 螺栓,通过机器人机座上的 4 个 8.5mm 孔来安装机器人。如果需要 非常准确地调整机器人位置,还可以钻 2 个直径为 8mm 的孔,用销加以固定。当然, 高精度的安装基座也可作为附件来采购。图 1.3 显示了钻孔位置和螺丝安装位置。 1.4.3 安装工具 机器人工具法兰有四个孔,可用于将工具安装到机器人上。工具法兰草图如图 1.4 所示。 All Rights Reserved 11 UR5

2021-07-11

仅仅显示-音频接线指南:常用音视频连接件接线方法

阁 14.33 随机游动 11?写一个脚本创建 -个有 9 种颜色的色阐,每 个色阁都介纟丨: 色、绿色 和蓝色 种颜色渐变 _ 然后创逹一个 25 x 25的图像矩昨,矩阵中的每个元索都足一个范|ti|从 1 到 9 的随机销数接 F米,创违 个新的阁像矩 阵,其中特定颜色渐变的任怠像索被该颜色荇换 ,.ÿ 如M14.35 所氺,ffl 像并排 敁$。 m. 12 14 图 14.34 猜谜游戏 图 14.35 颜色渐变的子图 12. 在当前的 R 录 卜‘ 放一张 JPEG 图片, 并且用 immad 将它 导入到 一个矩阵中。 分別计算并巨打印出 该矩阵 中红色、绿色、蓝色成分的平均值。然后,分别计算标准差。 13?—些闬像采集系统不是非常梢确, 并且它们获得的结果是浞杂 <noisy) 图像 为了吞到这 .效 米, 在丐前 的目录 _K 放一张 JPEG 阍片,再用 imread 导人它。然后,采用对矩阵中毎个元索都随机增加或减少一个值 的方法来创让-个阁像矩阵。测试不问的《值; 写--个脚本.川 suhPlot 并排 M示阁像,用 imshow 闲数 来代替 image 函数 ? n 第 14 章 声音和图像 335 元素显示为蓝色,脚本结束。 下面是一个运行脚本(随机选择的元素是(8,4))的例子。图 13.34 仅仅显示 阁形窗 U 的最后版本。 colorguess Enter the row #ÿ4 Enter the col #ÿ5 Enter the row #:10 Enter the col #ÿ2 Enter the row #ÿ8 Enter the col #ÿ4

2021-07-11

字符串操作-音频接线指南:常用音视频连接件接线方法

5.2 向量和矩阵运算 5.3 作为函数参数的向M和矩阵 5.4 逻辑向 M 5.4.1 内罝逻辑函数 5.5 向量化代码 5.6 计时 总结 112 113 115 116 118 120 124 126 习题 126 第 6 章 MATLAB 程序 6.1 其他类型的用户自定义函数 6.1.1 返回多值的函数 6.1.2 完成任务无返回值的函数 6.1.3 带返回值并可打印的函数 6.1.4 向函数传递参数 6.2 MATLAB 程序的组织 6.2.1 模块化程序 6.2.2 子函数 6.3 应用:菜单驱动的模块程序⋯ 6.4 变量作用域 6.4.1 持续变量 6.5 调试技术 6.5.1 错误类型 6.5.2 追踪 6.5.3 编辑器/调试程序 6.5.4 函数桩 129 129 130 132 133 134 135 135 137 139 144 145 147 147 148 149 150 总结 习题 150 151 第 7 章字符串操作 7.1 创建字符串变量 7.1.1 字符串向董 7.2 字符串操作 7.2.1 连接 7.2.2 创建自定义字符串••••• 7.2.3 删除空白字符 7.2.4 变换大小写 7.2.5 字符串比较 7.2.6 査找、替换和分割字符串 7.2.7 字符串求值 7.3 有关字符串的 is 函数 156 156 157 158 158 160 163 164 164 165 169 170 • 13•

2021-07-11

解缠后的相位图-pcb技术中的几幅图教你区分数字地、模拟地、电源地,单点接地

图4.9 解缠后的相位图 下一步是进行轨道参数修正,当输入了精确的轨道信息如 DORIS,为了矫正相位偏移, 所以要进行轨道参数的修正,矫正的结果不会生成新的数据文件,而是将解缠后相位头文件 中的信息做了修正。为了进行这一步,需要地面控制点文件,这些控制点必须要分布在整景 图像上,避免选择地形残差条纹区域。如下图白色点为好的地面控制点。

2021-07-11

改变权限位-(华为入门教程)verilog hdl 华为入门教程

1.4 改变权限位 对于属于你的文件,可以按照自己的需要改变其权限位的设置。在改变文件权限位设置 之前,要仔细地想一想有哪些用户需要访问你的文件 (包括你的目录 )。可以使用c h m o d命令来 改变文件权限位的设置。这一命令有比较短的绝对模式和长一些的符号模式。我们先来看一 看符号模式。 1.4.1 符号模式 c h m o d命令的一般格式为: chmod [who] operator [permission] filename w h o的含义是: u 文件属主权限。 g 同组用户权限。 o 其他用户权限。 a 所有用户 (文件属主、同组用户及其他用户 )。 o p e r a t o r的含义: + 增加权限。 - 取消权限。 = 设定权限。 p e r m i s s i o n的含义: r 读权限。 w 写权限。 x 执行权限。 s 文件属主和组s e t - I D。 t 粘性位*。 l 给文件加锁,使其他用户无法访问。 u,g,o 针对文件属主、同组用户及其他用户的操作。 *在列文件或目录时,有时会遇到“ t”位。“t”代表了粘性位。如果在一个目录上出现 “t”位,这就意味着该目录中的文件只有其属主才可以删除,即使某个同组用户具有和属主 同等的权限。不过有的系统在这一规则上并不十分严格。 如果在文件列表时看到“ t”,那么这就意味着该脚本或程序在执行时会被放在交换区 (虚 存)。不过由于当今的内存价格如此之低,大可不必理会文件的“ t”的使用。 4 第一部分 shell 下载

2021-07-11

节我们所-gbase sql参考手册

该函数实现了对 LCD 屏幕的任意指定区域进行截屏保存,用到的方法就是 48.1 节我们所 介绍的方法,该函数实现了将 LCD 任意指定区域的内容,保存个为 16 位 BMP 格式,存放在 指定位置(由 filename 决定)。注意,代码中的 BMP_USE_MALLOC 是在 bmp.h 定义的一个宏, 用于设置是否使用 malloc,本章我们选择使用 malloc。 接着打开 bmp.h,可以发现我们在 bmp.h 里面添加 bmp_encode 函数的申明。 接下来,我们看看主函数,打开 main.c,修改该文件代码如下: extern u8 ov_sta; //在 exit.c 里面定义 extern u8 ov_frame; //在 timer.c 里面定义 //更新 LCD 显示 void camera_refresh(void) { u32 j; u16 color; if(ov_sta==2) { LCD_Scan_Dir(U2D_L2R); //从上到下,从左到右 LCD_SetCursor(0x00,0x0000); //设置光标位置 LCD_WriteRAM_Prepare(); //开始写入 GRAM OV7670_RRST=0; //开始复位读指针 OV7670_RCK=0; OV7670_RCK=1; OV7670_RCK=0; OV7670_RRST=1; //复位读指针结束 OV7670_RCK=1; for(j=0;jIDR&0XFF; //读数据 OV7670_RCK=1; color<IDR&0XFF; //读数据 OV7670_RCK=1; LCD->LCD_RAM=color; } EXTI_ClearITPendingBit(EXTI_Line8); //清除 EXTI8 线路挂起位

2021-07-11

仿真与下载-gbase sql参考手册

6.4 仿真与下载 此代码,我们先进行软件仿真,看看结果对不对,根据软件仿真的结果,然后再下载到 ALIENTEK 战舰 STM32 板子上面看运行是否正确。 首先,我们进行软件仿真(请先确保 Options for Target Debug 选项卡里面已经设置为 Use Simulator)。先按 开始仿真,接着按 ,显示逻辑分析窗口,点击 Setup,新建两个信号 PORTB.5 和 PORTE.5,如图 6.4.1 所示: 图 6.4.1 逻辑分析设置 Display Type 选择 bit,然后单击 Close 关闭该对话框,可以看到逻辑分析窗口出来了两个 信号,如图 6.4.2 所示:

2021-07-11

STP优化-关于matlab的svm工具箱的几个函数

9.2 STP优化 9.2.1 实验目的 通过对于思科 专有 STP优化特性, portfast,uplinkfast,backbonefast 的原理和配置的 学 习,学会如 何利用上述三种特性进行 优化 STP拓扑。 9.2.2 实验拓扑 本次实验由 三台 交换机完成,其中 SW1和 SW2以 F0/23 端口互联,SW1和 SW2以 F0/19 互联,SW2和 SW3以 F0/21 互联。 9.2.3 实验步骤 1. 由于传统 STP(802.1D)的本身缺陷,使得网络 从不稳定状态到收敛状态需要消耗 大量时间,因此,思科针对传统 802.1D 提出了 相关的优化特性 来加快 汇聚, 其中 包括 portfast ,uplinkfast 以及 backbonefast。 2. 首先调整 SW1,SW2和 SW3之间的 STP拓扑, 使得 SW1成为根桥,SW3的 F0/21 端口状态为 blocking。 3. 传统 802.1D 中, 将链路的 状态的更变作为触发 STP拓扑更变的原 因,也就是说只 要接口从 UP到 DOWN或者 从 DOWN 到 UP,都作为拓扑更变的现象。

2021-07-11

计算缩放比例-天线测量手册

(1) 输入参数 函数包含输入参数源字模、缩放后字模的宽度及高度:in_width、inheig、out_width、 out_heig。源字模数据指针 in_ptr,缩放后的字符指针 out_ptr 以及用于指示字模是英文 还是中文的标志 en_cn。其中 out_ptr 指针实质上没有用到,这个函数缩放后的数据最 后直接存储在全局变量 zoomBuff 中了。 (2) 计算缩放比例

2021-07-11

立体还原图形推理题-stm32f4xx参考手册--中文版

一、 拆分重组图形推理题 拆分重组题型中,左边所给出的图形由若干个元素组成,右边的 备选图形中只有一个是由组成左边图形的元素组成的,要求考生正确 选择。需要注意的是,组成新的图形时,只能在同一平面上,方向、 位置可能出现变化,而不可以对图形进行空间翻转 例题 1 2006 年江西省第 56 题] 【解析】仔细观察箭头的方向和短形缺口的方向,即有且只有一 个箭头是朝向缺口的方向的,符合条件的只有 C 项。故选 C。 例题 2 2008 年重庆市第 74 题] 【解析】原图形由一个三角形和一个四边形组成,四个选项中只 有 A 项能还原成原图形。故选 A。 例题 3 2006 年中央(一类)第 57 题、(二类)第 52 题] 【解析】A、D 项图形中的小圆直径不足两条,予以排除;B 项中 的小圆内多了一条直径,也应排除。故选 C。 二、 立体还原图形推理题

2021-07-11

分析图形中最大的数-stm32f4xx参考手册--中文版

二、分析图形中最大的数 在数字推理中,几个数字运算得到另一个数字,通常都是几个较 小的数运算得到一个较大的数。如果几个较小的数字运算得到一个远 大于它们的数,则一定要通过乘法等使数字增大的运算。因此我们可 以以图形中最大的数字作为突破口,寻找运算关系。 例题 1:

2021-07-11

AM600与InoProShop-nasa系统工程手册

第1章 AM600与InoProShop

2021-07-11

节和随后的章-matlab从零到进阶

假定交互作用对实验的贡献是不能忽略的,也就是,系数 β12 并不小.图 5.6 绘出了模型 ii = 35.5 + 1O.5xl + 5.5x2 + 8X1X2 的响应幽面以及等高线图(我们令交互作用效应为两主效应的均值).注意到显著的交互作用效 应"扭曲" T图 5 缸中的平面,图 5.6b 显示了由平面的扭曲导致的 :1: 1 :1:2 平面的连续响应的弯 曲等高线.交互作用在该实验的潜在响应曲面中表现为弯曲的形式. 实验的响应幽面模型是极其重要的和极其有用的.关于这一点,我们将在 5.5 节和随后的章 节中详细叙述. 一假地,当交互作用较大时,对应的主效应的实际作用较小.对图 5.2 的实验 , A 的主效应 的估计是 A = 50:- 12 _ 20:- 40 =二一一- 2 2 这很小,我们试图作出结论,因子 A 不起作用.然而,当我们对因子 B 的不同水乎来检查 A 的 效应时,情况并非如此.因子 A 起作用,但是它依赖于因子 B 的水平.也就是说, AB 交互作用

2021-07-11

ADC寄存器-sql server 2008 评估期已过怎么解决

11.12 ADC寄存器 170/754 寄存器描述中使用的一些缩略语请参考1.1节。 必须以字(32位)的方式操作这些外设寄存器。 11.12.1 ADC状态寄存器(ADC_SR) 地址偏移:0x00 复位值:0x0000 0000 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 STRT JSTRT JEOC EOC AWD rc w0 rc w0 rc w0 rc w0 rc w0 保留 保留 位31:15 保留。必须保持为0。 STRT:规则通道开始位 (Regular channel Start flag) 位4 该位由硬件在规则通道转换开始时设置,由软件清除。 0:规则通道转换未开始; 1:规则通道转换已开始。 JSTRT:注入通道开始位 (Injected channel Start flag) 位3 该位由硬件在注入通道组转换开始时设置,由软件清除。 0:注入通道组转换未开始; 1:注入通道组转换已开始。 JEOC:注入通道转换结束位 (Injected channel end of conversion) 位2 该位由硬件在所有注入通道组转换结束时设置,由软件清除 0:转换未完成; 1:转换完成。 EOC:转换结束位 (End of conversion) 位1 该位由硬件在(规则或注入)通道组转换结束时设置,由软件清除或由读取ADC_DR时清除 0:转换未完成; 1:转换完成。 AWD:模拟看门狗标志位 (Analog watchdog flag) 位0 该位由硬件在转换的电压值超出了ADC_LTR和ADC_HTR寄存器定义的范围时设置,由软件清 除 0:没有发生模拟看门狗事件; 1:发生模拟看门狗事件。 参照2009年12月 RM0008 Reference Manual 英文第10版 本译文仅供参考,如有翻译错误,请以英文原稿为准。请读者随时注意在ST网站下载更新版本

2021-07-11

开发环境搭建-高校智慧校园建设规划方案

6.1 开发环境搭建 441

2021-07-11

访问集群-高校智慧校园建设规划方案

3.6 访问集群 192

2021-07-11

列表和列表项初始化-keb变频器 f5中文说明书-维修安装调试

7.2 列表和列表项初始化 7.2.1 列表初始化 新创建或者定义的列表需要对其做初始化处理,列表的初始化其实就是初始化列表结构体 List_t 中的各个成员变量,列表的初始化通过使函数 vListInitialise()来完成,此函数在 list.c 中有 定义,函数如下: void vListInitialise( List_t * const pxList ) { pxList->pxIndex = ( ListItem_t * ) &( pxList->xListEnd ); (1) pxList->xListEnd.xItemValue = portMAX_DELAY; (2) pxList->xListEnd.pxNext = ( ListItem_t * ) &( pxList->xListEnd ); (3) pxList->xListEnd.pxPrevious = ( ListItem_t * ) &( pxList->xListEnd ); (4) pxList->uxNumberOfItems = ( UBaseType_t ) 0U; (5) listSET_LIST_INTEGRITY_CHECK_1_VALUE( pxList ); (6) listSET_LIST_INTEGRITY_CHECK_2_VALUE( pxList ); (7) } (1)、xListEnd 用来表示列表的末尾,而 pxIndex 表示列表项的索引号,此时列表只有一个 列表项,那就是 xListEnd,所以 pxIndex 指向 xListEnd。 (2)、xListEnd 的列表项值初始化为 portMAX_DELAY, portMAX_DELAY 是个宏,在文件 portmacro.h 中有定义。根据所使用的 MCU 的不同,portMAX_DELAY 值也不相同,可以为 0xffff 或者 0xffffffffUL,本教程中为 0xffffffffUL。 (3)、初始化列表项 xListEnd 的 pxNext 变量,因为此时列表只有一个列表项 xListEnd,因 此 pxNext 只能指向自身。

2021-07-11

列表和列表项初始化-ce 6810配置手册

7.2 列表和列表项初始化 7.2.1 列表初始化 新创建或者定义的列表需要对其做初始化处理,列表的初始化其实就是初始化列表结构体 List_t 中的各个成员变量,列表的初始化通过使函数 vListInitialise()来完成,此函数在 list.c 中有 定义,函数如下: void vListInitialise( List_t * const pxList ) { pxList->pxIndex = ( ListItem_t * ) &( pxList->xListEnd ); (1) pxList->xListEnd.xItemValue = portMAX_DELAY; (2) pxList->xListEnd.pxNext = ( ListItem_t * ) &( pxList->xListEnd ); (3) pxList->xListEnd.pxPrevious = ( ListItem_t * ) &( pxList->xListEnd ); (4) pxList->uxNumberOfItems = ( UBaseType_t ) 0U; (5) listSET_LIST_INTEGRITY_CHECK_1_VALUE( pxList ); (6) listSET_LIST_INTEGRITY_CHECK_2_VALUE( pxList ); (7) } (1)、xListEnd 用来表示列表的末尾,而 pxIndex 表示列表项的索引号,此时列表只有一个 列表项,那就是 xListEnd,所以 pxIndex 指向 xListEnd。 (2)、xListEnd 的列表项值初始化为 portMAX_DELAY, portMAX_DELAY 是个宏,在文件 portmacro.h 中有定义。根据所使用的 MCU 的不同,portMAX_DELAY 值也不相同,可以为 0xffff 或者 0xffffffffUL,本教程中为 0xffffffffUL。 (3)、初始化列表项 xListEnd 的 pxNext 变量,因为此时列表只有一个列表项 xListEnd,因 此 pxNext 只能指向自身。

2021-07-11

任务实现-ce 6810配置手册

5.4 任务优先级 每 个 任 务 都 可 以 分 配 一 个 从 0~(configMAX_PRIORITIES-1) 的 优 先 级 , configMAX_PRIORITIES 在文件 FreeRTOSConfig.h 中有定义,前面我们讲解 FreeRTOS 系统配 置的时候已经讲过了。如果所使用的硬件平台支持类似计算前导零这样的指令(可以通过该指令 选 择 下 一 个 要 运 行 的 任 务 , Cortex-M 处 理 器 是 支 持 该 指 令 的 ) , 并 且 宏 configUSE_PORT_OPTIMISED_TASK_SELECTION 也 设 置 为 了 1 , 那 么 宏 configMAX_PRIORITIES 不能超过 32!也就是优先级不能超过 32 级。其他情况下宏 configMAX_PRIORITIES 可以为任意值,但是考虑到 RAM 的消耗,宏 configMAX_PRIORITIES 最好设置为一个满足应用的最小值。 优先级数字越低表示任务的优先级越低,0 的优先级最低,configMAX_PRIORITIES-1 的优 先级最高。空闲任务的优先级最低,为 0。 FreeRTOS 调度器确保处于就绪态或运行态的高优先级的任务获取处理器使用权,换句话说 就是处于就绪态的最高优先级的任务才会运行。当宏 configUSE_TIME_SLICING 定义为 1 的时 候多个任务可以共用一个优先级,数量不限。默认情况下宏 configUSE_TIME_SLICING 在文件 FreeRTOS.h 中已经定义为 1。此时处于就绪态的优先级相同的任务就会使用时间片轮转调度器 获取运行时间。 5.5 任务实现 在使用 FreeRTOS 的过程中,我们要使用函数 xTaskCreate()或 xTaskCreateStatic()来创建任 务,这两个函数的第一个参数 pxTaskCode,就是这个任务的任务函数。什么是任务函数?任务 函数就是完成本任务工作的函数。我这个任务要干嘛?要做什么?要完成什么样的功能都是在 这个任务函数中实现的。 比如我要做个任务,这个任务要点个流水灯,那么这个流水灯的程序 就是任务函数中实现的。FreeRTOS 官方给出的任务函数模板如下: void vATaskFunction(void *pvParameters) (1) {

2021-07-11

展示了全腔静脉肺动脉连接的一个_“-opencv2参考手册

肺动脉的手术进行矫正(上、 下腔静脉, SVC 和 IVC) 。 图 10.41 展示了此类病例的 典型主动脉矫正连接术, 称为全腔静脉肺动脉连接(TCPC)。 这种疾病只有一个压力 源驱动体循环和肺循环, 对单心室患者来说是一个巨大的负担。 同时, 对全腔静脉肺 动脉连接术进行血流动力学的优化也在大量的研究之中。 图10. 41 病人特异性全腔静脉肺动脉连接(TCPC)示意图 核磁共振和相位对比磁共振在病人特异性解剖结构的量化, 以及任意血管截面流 量的测量中扮演十分重要的角色, 为实验学和计算流体力学提供了非常便利的手段。 此外, 对于主动脉, 相位速度平面(或体)可以被重新定位, 以更好地可视化连接 处的血流状况。 图 10.42 展示了全腔静脉肺动脉连接的一个 “ 冠状 “ 视野(左边高 亮处为边界)的相关的三维造影(右上图)、 三维速度矢量都在切面上选取出来(右 下图)。 尽管局部矢量区域存在噪点, 上腔静脉与下腔静脉的连接处血流加速和再循 环却可以观察得非常清楚。 尽管如此, 这种采集不能够完全捕获整个图像。

2021-07-11

血管的力学性能-opencv2参考手册

4.2 血管的力学性能 脉动流是人体循环系统中血流与血管壁持续作用的结果。在分析人体动脉中的流 体力学, 我们除了需要了解血液的流动特性还要知道动脉壁的知识。 因此, 我们将简 要回顾血管壁结构组分和力学特性。 4.2.1 血管壁的结构组分及材料属性 动脉壁由三层组成, 其中每层都含不同量的弹性蛋白、胶原、 血管平滑肌细胞以 及细胞外基质(图4.20)。 动脉最内层是内膜, 由单层的内皮细胞和非常薄的弹性蛋 白组成。排列在动脉内表面上的内皮细胞组成了一个光滑的壁, 并且选择性渗透血液 螺旋状排列的胶原 纤维使血管加固 中层被螺旋状排列的 纤维加固 胶原纤维束 外部弹性层 弹性层 弹性纤维 胶原纤维 平滑肌细胞 内部弹性层 内皮细胞 图4.20 健康动脉的组成示意图 [来源: Holzapfel et吐,J. Elast. , 61 , 1 , 2000]

2021-07-11

路网_编辑_器-劳特巴赫工具简介

元素 说明 (1) 标题 栏 程序名称 包含升级包编号的版本编号 当前打开的路网文件和路径 表示演示版本的演示字样 表示小版本的 Uni 字样 (2) 菜单 栏 通过这些菜单您可以调用各种程序功能 (参见第 83 页的 “菜单概览” )。 在文件菜单内显示最近在 Vissim 中使用过的路网文件。如果需要打开这些文件中的其 中一个,那么请点击对应的条目。 (3) 工具 栏 通过工具栏您可以调用各种程序功能。列表和路网编辑器提供专用工具栏 (参见第 93 页的 “使用工具栏” )。 (4) 路网 编辑 器 在一个或多个路网编辑器内显示当前已打开的路网。您可以对路网进行图形化编辑,并 在每个路网编辑器内单独调整视图 (参见第 52 页的 “使用路网编辑器” )。 (5) 路网对象栏、界面栏和背景栏默认情况下作为标签一起显示于同一个窗口内。 40 © PTV GROUP

2021-07-11

PLC系统的配置与设置-python network programming cookbook 2nd edition - 2017

第3章 PLC系统的配置与设置 目录 3.1 DVP系列机种的参数设置 3-4 3.1.1 DVP主机的系统管理工具 3-4 3.1.2 停电保持设定 3-4 3.1.3 联机信息 3-8 3.2 AH与 AS系列机种的硬件规划工具-HWCONFIG 3-9 3.2.1 HWCONFIG的环境介绍 3-9 3.2.2 模块配置 3-11 3.2.2.1 新增模块 3-11 3.2.2.2 模块的地址配置 3-17 3.2.2.3 批注编辑 3-21 3.2.2.4 删除模块 3-22 3.2.2.5 变更模块 3-24 3.2.2.6 模块的查找/替換功能 3-25 3.2.2.7 模块的复制/粘贴 3-29 3.2.2.8 模块的剪切/粘贴 3-31 3.2.2.9 模块的搬移 3-33 3.2.2.10 新增延伸背板 3-34 3.2.2.11 删除背板 3-36 3.2.2.12 变更背板 3-37 3.2.2.13 延伸背板的剪切/复制/粘贴 3-38 3.2.2.14 延伸背板图标的搬移 3-40 3.2.2.15 重新排序输入/输出装置范围3-41 3.2.2.16 显示/隐藏名称 3-41 3.2.3 主机与模块的版本管理 3-42 3.3 AH500机种的 PLC主机参数设置 3-44 3.3.1 打开 PLC主机的参数设置页面 3-44 3.3.2 CPU基本参数设置 3-45 3.3.2.1 CPU基本参数-名称 3-45

2021-07-11

图纸的设计信息模板的制作和调用-国家车联网产业标准体系建设指南

2.3 图纸的设计信息模板的制作和调用 Altium Designer提供了大量的原理图的图纸模板供用户调用,这些模板存放在 Altium Designer安装目录下的 Templates子目录里,用户可根据实际情况调用。但是针对特定的用 户,这些通用的模版常常无法满足图纸需求,AltiumDesigner 提供了自定义模板的功能, 本节将介绍原理图设计信息区域模板的创建和调用方式。 2.3.1 创建原理图设计信息区域的模板 本节将通过创建一个纸型为 16 开的文档模板的实例,介绍如何自定义原理图图纸模 板,以及如何调用原理图图纸参数。 (1) 打开“文件”主菜单下的“新建”选项,选择“原理图”命令,即可创建一个 空白的原理图文件。新建的原理图上显示默认的标题栏和图纸边框,如图 2-22所示。 (2) 在原理图上任意位置单击鼠标右键,在弹出的快捷菜单中选择“选项”|“文 档选项”命令。 (3) 在“文档选项”对话框中的“方块电路选项”区域中,取消“标题栏”的复选 框的选择,切换到“单位”标签。

2021-07-11

通过局域网访问-db52t 1467-2019 区块链 系统测评和选型规范 (贵州区块链地方标准-2)

15.2 通过局域网访问 15.2.1 PC 机局域网监控操作 HMI 【范例 1】以 MT4414TE 和一台 PC 作为实例,此时 HMI 是服务端,PC 是客户端 1. 由于 VNC 功能须通过网络实现,所以只有带网口的 HMI 才支持此项功能 1) PC 客户端工具: 2) HMI 服务端需设置特殊寄存器以允许 PC 对其进行操作 寄存器 功能 LB9290 开启 HMI 的 VNC 功能,置 1 开启该功能 LB9291 屏蔽用户操作,置 1 屏蔽(只允许查看不允许操作) LB9292 操作密码使能,置 1 操作密码有效 LB9293 查询密码使能,置 1 查询密码有效 LW10146~LW10147 操作密码 LW10148~LW10149 查询密码 3) HMI 设置 设置 HMI 的 IP 地址,如下图 1.因是局域网访问,网关可以默认,不用设置 使用上述特殊寄存器,开启 VNC 功能 399

2021-07-11

打印功能设置方法-db52t 1467-2019 区块链 系统测评和选型规范 (贵州区块链地方标准-2)

13.4 打印功能设置方法 13.4.1 本地打印:HMI 串口与打印机直接相连 【范例 1】使用型号为 MT5320T 的 HMI 和炜煌打印机 WH-A93RG0-00E825 连接,通过功能键打印当前画面。首先 创建一个 HMI 型号为 MT5320T 的新工程。 开启打印功能并选择打印驱动:【HMI 属性】—【打印设置】—勾选【开启本地打印功能】。 2 3 1 从【打印机】列表里选择打印机的驱动“WH-A93RG0-00E825”。 选择打印机与 HMI 连接的端口,本例选用“COM0”。 ! 同一个端口不能同时设置为打印口和通讯口 【波特率/数据位/校验位/停止位】推荐使用默认设置,必须和打印机参数保持一致。本例【波特率】/【数据位】/ 【校验位】/【停止位】均为默认。 在画面 0 上放置一个功能键。功能键选中【打印】,【打印内容】全部选中。编译,下载到 HMI。 356

2021-07-11

支持本地打印的打印机-db52t 1467-2019 区块链 系统测评和选型规范 (贵州区块链地方标准-2)

13.1 支持本地打印的打印机 Kinco HMIware 支持的打印机型号见下表: Kinco HMIware 打印机驱动 打印机型号 打印接口 打印方法 点阵 厂家信息 WH4008A31-053 WH-A52Z20-30E125 串口 针式微打 240 像素点/行 http://www.bright ek.com.cn(炜煌) WH-A52Z20-40E125 串口 针式微打 240 像素点/行 WH-A62R10 WH-A62R10-41E725 串口 热敏微打 192 像素点/行 WH-A93RG0-00E725 串口 热敏微打 192 像素点/行 WH-E173R90-00E11720GA 串口 热敏微打 192 像素点/行 WH-A93RG0-00 E825 WH-A93RG0-00E825 串口 热敏微打 384 像素点/行 WH-T2AR10-30E82B 串口 热敏POS机 384 像素点/行 WH-E191RB0-0 0E1182055 WH-E191RB0-00E1182055 串口 热敏微打 576 像素点/行 Siupo SP-M, D, E, F SP-E4004SK 串口 撞击点阵式 240 像素点/行 http://www.siupo. com(迅普) MY-POS80K MY-POS80K 串口 热敏POS机 240 像素点/行 http://www.mypo s.cn(美域) Pictbridge CANON PIXMAiP4980 USB 从 喷墨 http://www.canon .com.cn(佳能) EPSON ColorioPX-G5300 USB 从 喷墨 http://www.epson .com.cn(爱普生) HP8500A/8500APlus USB 从 喷墨 http://www.hp.co m(惠普) 352

2021-07-11

宏的应用-db52t 1467-2019 区块链 系统测评和选型规范 (贵州区块链地方标准-2)

9.3 宏的应用 【范例】下面以判断 D100(PLC 寄存器)的值,来改变 M0(PLC 寄存器)的状态输出为例来介绍宏建立、编辑和 运行的过程。程序要求当 D100 的值为 123 时,M0 输出 1 状态,否则输出 0 状态。 首先创建宏,并设置宏名称为“状态输出.c”; 然后在【宏代码变量窗口】中定义 D100 和 M0 变量: 在【宏代码编辑窗口】中用 if 语句编写宏代码,代码如下: 24 25 26 27 28 29 30 31 int MacroEntry() { if (D100==123) M0=1; else M0=0; return 0; } … … … … … … … … … … 保存后,关闭宏代码编辑窗口并切换至组态编辑画面,组态画面如图所示: 3 ####2 1 位状态指示灯,用于输出显示 M0 的状态,其属性设置为: 读取地址 M0(PLC 寄存器) 图形 使用向量图, 状态0 状态1 308

2021-07-11

时钟设置-db52t 1467-2019 区块链 系统测评和选型规范 (贵州区块链地方标准-2)

2.7 时钟设置 MT 系列 HMI 支持实时时钟(RTC)功能,采用 BIN 编码格式,并提供实时的时间和日历显示。HMI 断电时,实时 时钟使用后备电池供电。 在 Kinco HMIware 中,用到实时时钟功能的元件或功能有:时间显示;事件显示;事件信息滚动条;外设历史事件显 示;历史数据显示;操作日志;趋势图;XY 图 因此如果使用到以上元件或功能时,则需将系统时间调整为当前实际时间,以确保系统运行状态信息的准确性。下面 介绍 HMI 实时时钟调整的方法。 2.7.1 通过特殊寄存器校准系统时间 Kinco HMIware 提供了系统特殊寄存器 LW10000~LW10006 用于调整系统实时时钟,具体说明如下: 特殊寄存器地址 地址类型 编码类型 描述 LW10000 WORD BIN 秒 有效范围:0~59 LW10001 分 有效范围:0~59 LW10002 时 有效范围:0~23 LW10003 日 有效范围:1~31 LW10004 月 有效范围:1~12 LW10005 年 有效范围:2000~2037 LW10006 星期 有效范围:0[日]~6[六] 【范例】例如设置年,月,日,时,分,秒,则分别建立 6 个数值输入元件,如图所示: #### 年 ## 月## 日 ##:## ##: 2 1 3 4 5 6 87

2021-07-11

电机可动范围设定-cbndata:2020新消费洞察报告

在位置控制或全闭环控制时,根据输入的位置指令范围,超过Pr5.14「电机可动范围设定」 设定的旋转量,检出电机位置过度,发生Err34.0「电机可动范围保护」。 P.4-50(Pr5.14) 6)混合偏差过大保护设定 在全闭环控制进行初始动作时,可能出现外部位移传感器的逆连接、或外部位移传感器分频 比的设定错误等异常动作的情况。 为了检知以上情况,电机位置(编码器单位)与负载的位置(外部位移传感器单位)的偏差超过 Pr3.28「混合偏差过大设定」时,出现Err25.0「混合偏差过大异常保护」。 出厂设定为16000[pulse(指令单位)]。正常动作的偏差根据动作速度或增益的设定而变化, 所以,请用户根据运行条件设定余裕度。 P.4-36(Pr3.28) 2.关于增益调整前的保护功能设定

2021-07-11

外部位移传感器接线异常保-cbndata:2020新消费洞察报告

如果在AB相输出型连接时将设定值置于1、2,则将发生Err50.0「外部位移传感器接线异常保 护」。此外,若在串行通信型连接时将设定值置于0,则将发生Err55.0〜2「A相orB相orZ相接 线异常保护」。 1.参数详情 【分类 3】速度・转矩・全闭环控制 标准出厂设定 :【 】 ・参数No.如下所示。 Pr0.00 参数No. 分类编号 ・参数No.上有「*」标记的为接通控制电源时的变更内容有效。 ・ 通用型没有 X5(外部位移传感器连接用连接器)。 ・ P.3-32 〜「连接器X4 输入输出的说明」 ・P.6-3「保护功能」

2021-07-11

报警清除画面-cbndata:2020新消费洞察报告

(1)报警清除画面 进行报警发生状态的解除。. 也有解除不了的情况。详情请参照P.6-3「遇到问题时、保护功能」。 ■按 键,进行显示。 (设置键) 选择显示 ■执行报警清除时,持续按   键,直至显示变为 。 执行显示 报警清除结束 不能清除时, 请重启电源解除错误。 持续按   键(约5秒), 则会变成如左图所示「 」增加 。 开始清除报警 结束 ・报警清除后,请参照P.2-76「各模式的构造」返回选择显示。

2021-07-11

频率指令丧失中检出的时序图-robert_opencv 2 计算机视觉编程手册

5.7 H 端子功能选择设定值 C: 频率指令丧失中 频率指令丧失检出功能是通过主速模拟量输入* 的频率指令在 400 ms 以内下降 90% 以上时,能以丧失前频率指 令的 80% 速度继续运行的功能。将 L4-05 (频率指令丧失时的动作选择)设定为 1 (按 L4-06 的设定速度继续 运行)时有效。L4-05 在频率指令丧失中使输出端子动作,还决定指令丧失状态下的变频器的动作。 * 进行频率指令丧失检出的主速模拟量指令为以下 2 个。 · 从 A1 端子输入的模拟量频率指令 · 从 A2 端子输入的模拟量频率指令 图 5.65 频率指令丧失中检出的时序图 设定值 D: 安装型制动电阻故障 安装型制动电阻器处于过热状态或制动晶体管故障状态时,作为安装型制动电阻不良,所设定的输出端子将闭 合。 设定值 E: 故障 变频器处于 LED 操作器通信故障之外的 “故障”时,设定了该值的输出端子将闭合。 设定值 F: 未使用 端子未被使用或作为直通模式使用时,请进行该设定。 设定值 10: 轻故障 发生轻故障时,输出端子将闭合。 设定值 11: 故障复位中 设定为故障复位中的输出端子,在有来自控制回路端子、串行传输、或通信选购卡的故障复位指令时为闭合。 指令状态 内容 闭 变频器运行中,模拟量频率指令丧失为在 0.4 秒内下降 90% 时 No. 名称 设定范围 出厂设定 页码 L4-05 频率指令丧失时的动作选择 0:停止 (跟随频率指令运行) 1:按 L4-06 的设定速度继续运行 0 260 L4-06 频率指令丧失时的频率指令 0.0 ~ 100.0 80.0% 260 关于变频器的故障、轻故障等的警报,请参照 “6.2 变频器的警报及故障显示功能”。 关于变频器的故障、轻故障等的警报,请参照 “6.2 变频器的警报及故障显示功能”。 MEMO MEMO236 (株)安川电机 SICP C710606 17A 安川变频器 V1000 技术手册

2021-07-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除