c语言实现按键的抖动与消除,【Verilog HDL 训练】第 09 天(按键消抖)

本文介绍了如何使用Verilog HDL设计按键消抖电路,分别展示了单个按键和三个按键的消抖模块。通过计数器消除15ms内的抖动,确保在12MHz时钟下稳定工作。同时提供了相应的Verilog代码实现。
摘要由CSDN通过智能技术生成

5月7日

按键防抖

1. 用verilog实现按键抖动消除电路,抖动小于15ms,输入时钟12MHz。

在编写Verilog代码之前,先分析下一些前提问题,首先是几个按键(1个,多个),我们以1个和三个为例;

其次是算下按键按下后计数多少后,采样按键值,这个需要简单的运算:输入时钟为12MHz,也就是大约80ns的周期,那么去除15ms的抖动,需要计数多少次呢?

经过计算,大约180000次,换成16进制为:2BF20,如此以来,计数器20位足够保险了。

有了以上的准备工作了,我们开始编写一个按键的按键消抖程序了:

`timescale 1ns / 1ps

//

// Company:

// Engineer:

//

// Create Date: 2019/05/07 19:29:37

// Design Name:

// Module Name: key

//

//

module key(

input clk,

input rst_n,

input sw_in,

output reg sw_out

);

//按键本身值为1,按下按键后键值为0.

reg sw_in_r0;

always @ (posedge clk or negedge rst_n) begin

if(!rst_n) begin

s

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值