c语言编写算术编码,用vhdl语言编程设计4位算术逻辑单元(alu)_用c语言实现算术编码_alu算术逻辑...

033ad4d32b2a43b653b37d61fb6bd1ee.png

arithmetic and logic unit 算术逻辑单元,简称ALU,是计算机的物理大脑,也就是计算机里负责运算的模块,比如把两个数相加。基本其他的部件都用到了ALU,它有两个 单元 一个算术单元,一个逻辑单元

算术单元,它主要负责计算机里的所有数字操作,比如加减法,自增自减等。接下来,使用最简洁的减法电路,即两个bit相加,bit是0或者1,来说说它是怎样设计与运行的。

首先有两个输入,A和B,一个输出,即A和B的和,这里三个数A,B,输出,都是单个bit(0或1)。那么输入只有四种可能:

上面这三种的输入和输出,与XOR(异或)门的逻辑是一样的,所以可以用XOR门作为一位加法器,但是第四种组合:1+1 = 2是个特例,但在二进制里没有2,因此1+1的结果是0,1进到下一位。真值表和XOR门与图示所示:

0cb9147a8396b14cb15ee750077faa5c.png

可以看的出XOR门的输出,只对了一部分,1+1输出

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值