eda技术试卷_EDA技术课程试卷及答案

系别班次学号姓名.

………密………封………线………以………内………答………题………无………效……

电子科技大学二零零四年至二零零五学年第一学期

EDA技术课程考试题(120分钟)考试日期2004年12 月日一二三四五六七八九十总分评卷教师

一、填空题(20分,每小题2分)

1.传统电路设计思想是bottom-up ,现代EDA设计思想是top-down 。

2.从可编程特性上可将 P L D分为一次编程和可重复编程两类。

3.用MAX+PLUSII进行VHDL文本编译时,其项目名应与文件名和实体名相同。

4.FPGA在结构上的三个主要组成部分:可编程IO单元、可编程逻辑块、可编程内部连线。

5.FPGA和CPLD的中文全称分别是现场可编程逻辑门阵列和复杂可编程逻辑器件。

6.一个完整的VHDL程序,至少应包括三个基本组成部分:库说明、实体头和结构体。

7.VHDL的端口模式有in 、out 、inout 、buffer 。

8.VHDL的数据对象有常数、信号、变量。

9.VHDL中元件例化语句的端口映射方式有名字映射和位置映射两种。

10.进程语句的启动条件是敏感信号的变化或满足条件的w ait语句二、选择题(20分,每小题2分。)

1.一个完整结构的结构体由哪两个基本层次组出。 2

①数据说明和进程②结构体说明和结构体功能描述

③顺序描述语句和并行执行语句④结构体例化和结构体赋值

2.以下程序执行后,a和b的值分别为 1 。

architecture rtl of example is

begin

process

variable a : integer:=4;

variable b:integer:=2;

第 1 页共9页

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值