VHDL语言基本单元及其构成——EDA学习笔记(一)

一个相对完整的VHDL程序至少包括实体说明和实体对应的结构体两个基本组成部分。实际上,一个完整的VHDL程序应具有如下所示的比较固定的结构体,包括四个部分。 

库、函数包使用说明:用于打开(调用)本设计实体将要用到的库和程序包。

实体说明:描述该设计实体与外界接口信号,是可视部分。

结构体说明:用于描述该设计实体内部工作的逻辑关系,是不可视部分。

配置说明语句:主要用于以层次化方式中对特定的设计实体进行元件例化,或是为实体选定某个特定的结构体。

 一、实体

实体(entity)是一个设计实体的表层设计单元,功能是对这个设计实体与外部电路进行接口描述。

1.实体语句结构

entity 实体名 is
[generic(类属表);]
[port(端口表);]
end entity 实体名;

实体说明单元必须以语句“entity 实体名 is”开始,以语句“end entity 实体名;”,实体名有设计者命名,用来表示设计电路芯片的名称ÿ

  • 4
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻萌的路飞

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值