verilog从txt中读取_将Verilog中的二进制文件数据读入2D数组

在 example 下面,用于从带有systemverilog的二进制文件中读取 .

如IEEE SV标准文档中所示, "nchar_code" 将返回读取的字节数/字符数 . 如果在上次读取时已经达到EOF,则该数字将为零 . 请注意,“ nchar_code ”可以为零 but 尚未达到EOF,如果在数据文件的末尾有空格或返回,则会发生这种情况 .

您可以使用$ fread函数控制要读取的字节数 . 这是通过以下示例的"data_write_temp"或"mem"的 type definition 完成的 . 如果 "data_write_temp" 变量是16位长,那么每次调用$ fread时它将读取16位 . 此外,$ fread将返回"nchar_code=2",因为16位是2bytes . 如果"data_write_temp"是示例中的32位,则$ fread将读取nchar_code = 4bytes(32bits) . 您还可以定义一个数组,$ fread函数将尝试填充该数组 .

让我们定义一个多维数组 mem .

logic [31:0] mem [0:2][0:4][5:8];

在示例单词内容中, wzyx ,

-w shows the start of the word

-z corresponds to words of the [0:2] dimension (3 blocks).

-y corresponds to words of the [0:4] dimension (5 rows).

-x corresponds to words of the [5:8] dimension (4 columns).

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值