自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(35)
  • 资源 (5)
  • 问答 (3)
  • 收藏
  • 关注

转载 UVM基础知识0:在vcs中,sv通过DPI调用C函数实例

链接:https://blog.csdn.net/Times_poem/article/details/79801998。来源:systemverilog验证 测试平台编写指南(书籍)4 输入 make run 即可有以下结果。1 新建factorial.c文件。2 新建test.sv文件。3 制作makefile。

2024-06-18 19:51:24 24

原创 静态图像jpeg和png

jpeg和png原理

2024-05-15 09:59:47 233

转载 shell grep字符查找,添加命令

shell grep字符查找,添加命令,很实用。

2024-04-25 17:45:08 13

转载 AMBA总线协议

看到知乎很不错的文章,转载下,AMBA总线协议介绍。

2024-04-23 14:52:25 52

转载 几个linux批量修改文件名的方法

使用mmv命令:mmv命令可以根据规则重命名文件,使用类似正则表达式的语法。该脚本可以将当前目录下所有的txt文件重命名为newname_1.txt、newname_2.txt、newname_3.txt等。简单的单个文件名修改使用mv命令即可,如 mv oldname newname。以上是三种常见的批量修改文件名的方法,可以根据实际情况选择适合自己的方法。编写一个简单的shell脚本,使用循环语句和mv命令来批量重命名文件。

2023-11-21 08:55:48 379

原创 emacs代码折叠功能

收藏下https://www.emacswiki.org/emacs/HideShow

2023-11-14 13:28:18 100

转载 [SV] 文件操作

sv文件操作

2023-10-30 18:59:05 299

转载 emacs列模式和cua-mode

EMACS cua-mode操作

2023-01-19 10:57:33 217

转载 SV之IPC(INTER PROCESS COMMUNICATION)线程间的通信机制

SV之IPC(INTER PROCESS COMMUNICATION)线程间的通信机制

2022-11-29 21:29:11 370 1

转载 线程之间的通信(事件event、信箱mailbox、旗语semaphore)

若多个线程之间想要进行数据交换或者知道彼此的状态以决定执行什么线程,SV中通过event、mailbox、semaphore来进行线程通信。其中event是2个线程之间的通信,semaphore是≥2个线程之间的通信。

2022-11-29 17:35:53 900 1

原创 EMACS go to file

gvim 有gf命令,EMACS有ffapm-x ffap或添加ffap-bindings到.emacs

2022-06-23 11:13:02 113

原创 DC常用选项

1.遇到error是否继续执行2.sh_script_stop_severity设置error严重等级

2022-06-06 15:05:20 272

原创 irun常用选项

irun-ALLOWREDEFINITION 允许重复定义.v文件,以最后一个为准

2022-05-26 16:12:35 960 1

转载 verdi的使用技巧

看到一篇不错的博客,关于verdi的使用https://www.cnblogs.com/gaiqingfeng/p/14212266.html

2022-05-07 10:39:40 160

原创 emacs如何把文件当做verilog文件识别

emacs如何把文件当做verilog文件识别在文件的开头加上/* -*- verilog -*- */

2022-04-14 15:19:28 77

原创 clock gating check

看了一遍不错的文章,转载过来https://www.cnblogs.com/xiaoxie2014/p/9513026.html

2022-02-18 14:32:28 163

转载 systemverilog define用法

sv 宏定义用法

2021-12-27 09:24:04 1120

原创 Python生成可执行文件

Cygwin安装PyInstaller后生成exe一直失败,无奈放弃。安装pycharm,导入Python2.7后才能使用。具体步骤:安装Python2,7安装pycharmhttps://blog.csdn.net/q6q6q/article/details/109347278Pip安装所需插件,pip常用命令pip list; pip install xxx, pip uninstall xxx执行PyInstaller -F excel2c51h.py即可...

2021-10-19 14:46:39 93

原创 cygwin环境下python添加xlrd

window下用cygwin安装python,用python脚本实现excel的操作,python需要安装xlrd插件。详细步骤如下:1.首先是cygwin的安装,下载在线安装包exe。2.打开安装包,默认设置,点击next直到下载网址出现,推荐使用教育类网址,速度会快些,记得把360关掉,否则下载过程可能卡住。选择安装包有makefile,python2.7,vim. 如下安装结束后,打开cgywin,通过查看make,python 的安装信息确认是否安装成功。Python的信息查看命令是

2021-08-22 22:21:46 216

原创 我的cshrc配置

if($PWD == "/home/xxx/Desktop") thencd /home/xxxendif module add XXX setenv XXXsetenv SVN_EDITOR vialias ko 'konsole &'alias sour 'source ~/.cshrc'alias ls 'ls --color -sF'alias du 'du -sh *'alias cp cp -ialias rm rm -ialias 'cd.' 'cd

2021-08-11 15:50:43 1129

转载 makefile 中 wildcard、notdir、patsubst、addprefix 解释

目录 wildcard 获取跟当前模式匹配的文件名 查找一层目录 查找多层目录 notdir 去文件路径  patsubst 模式替换 addprefix 加前缀函数 wildcard 获取跟当前模式匹配的文件名 查找一层目录 这个命令是文件名处理函数:$(wildcard pattern),获取跟当前模式匹配的文件名; #定义代码目录SRC_DIR = src ...

2021-06-19 11:26:56 398

转载 脚本中mkdir 与 mkdir -p 的区别

1、直接用mkdir指令 2、mkdir -p :递归创建目录,即使上级目录不存在,会按目录层级自动创建目录   mkdir -p xx/yy的好处就是一次可以创建多级文件夹,若xx文件夹不存在,则先创建xx文件夹,然后在xx文件夹下创建yy文件夹 ...

2021-06-19 09:23:07 78

原创 makefile中调用其他makefile

看到一个将多个makefle文件汇总到一个makefile里的方法,记录一下,希望以后能用到。步骤1:首先指定子makefile的路径sub_makefile := $(wildcard $(DIR)/XXX/*/makefile)*表示匹配XXX目录下的所有文件夹步骤2:遍历所有makefileall_makefiles = $(foreach n, $(sub_makefile), $(n))步骤3:include到父makefileinclude $(all_makefiles)

2021-06-18 10:13:00 2142

转载 Makefile foreach函数

1、语法 $(foreach var , list, text)1 函数解释:把参数list中的单词逐一取出来放到var所指的变量中,然后再执行text所包含的表达式。每一次text会返回一个字符串,循环过程中,text所返回的每一个字符串以空格隔开。最后结束循环时,text所...

2021-06-18 09:35:41 1035 1

转载 Makefile中wildcard函数使用方法

Makefile中wildcard函数使用方法     Makefile用于管理工程编译,作为一种管理工具,内部包含相关处理函数,其中wildcard就是makefile文件中的一个函数。 一、wildcard作用 显示指定路径下指定文件类型的所有文件。          二、格式 ...

2021-06-17 17:58:26 350

原创 makefile .PHONY用法

.PHONY: cleano means the word "clean" doesn't represent a file name in this Makefile;o means the Makefile has nothing to do with a file called "clean" in the same directory.

2021-06-17 17:07:47 119

原创 常用的linux命令

1、多个文件中的内容需要批量替换的sed -i "s/oldstring/newstring/g" `grep oldstring -rl ./`

2021-04-02 09:49:25 51

原创 emacs verilog-mode字符串匹配

在auto_template添加正则表达式。如下例子:1.字符串的匹配1.1.dft_\(.*\)_m (0),把dft_开头_m结尾的信号全部接0比如.dft_abcd_m (0),1.2.dft_\(.*\)m\(.*\)kkk (aaa\1bbb\2),比如:.dft_cbs_m_defkkk (aaacbsbbbdef),\1匹配cbs \2匹配def2.数字的正则匹配...

2021-01-22 10:21:03 925

原创 emacs写Verilog时插入模板

在写verilog的时候经常用到always语句,所以捆绑了快捷键。需要把下面的代码放入.emacs中即可。;; 使函数可直接被调用可添加 (interactive)(defun func1 () (interactive) (insert "always @(posedge clk or negedge rst_n) begin if (!rst_n) begin /*AUTORESET*/ end else begin

2020-10-07 21:52:15 280

原创 irun简单的仿真环境

编写一个irun的Makefile,用来仿真Verilog,适合编写小的模块。run: irun +sv +nc64bit -access +rwc +nctimescale+1ns/1ps -f file.lst -l irun_rtl.logverdi: verdi -f file.lst +incdir+./ -ssf wave.fsdb &clean: rm -rf INCA* *.log *.txt coverage_DBinitialbegin $fsdbDumpfi

2020-09-25 21:29:54 6188 3

转载 Tcl与Design Compiler (八)——DC的逻辑综合与优化

本文如果有错,欢迎留言更正;此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner  对进行时序路径、工作环境、设计规则等进行约束完成之后,DC就可以进行综合、优化时序了,DC的优化步骤将在下面进行讲解。然而,当普通模式下不能进行优化的,就需要我们进行编写脚本来改进DC的优化来达到时序要求。理论部分以逻辑综合为主,不涉及物理库信息。在实战部分,我们将在DC的拓扑模式下进行。(本文主要参考虞希清的《专用集成电路设...

2020-09-02 14:37:52 704

转载 emacs下color-theme.el的正确配置方法

转自:    http://blog.csdn.net/silence1214/article/details/55155031:下载http://ftp.twaren.net/Unix/NonGNU/color-theme/color-theme-6.6.0.tar.gz  然后自己解压2:把color-theme-6.6.0.tar.gz解压...

2020-08-26 20:51:28 146

转载 FPGA设计-时序约束(上篇,理论篇)

最近都在做ARM的方面学习工作,感觉有必要记录下来之前FPGA的工作,好记性也不如烂笔头;说起FPGA,断断续续的也用过4,5年了,中间接触过DSP,就是因为没有记录文档资料,目前几乎快忘光了;FPGA方面的心得,将会由以下几个方面进行总结:1. FPGA时序约束以及高速ADC约束实例2. TDC进位延时链设计以及研究3. TDC的精度以及自动校正算法的实现 STA:St...

2020-08-16 18:07:56 210

转载 数字设计中的时钟与约束

数字设计中的时钟与约束</div> ps:可以转载,转载请标明出处:http://www.cnblogs.com/IClearner/  最近做完了synopsys的DC workshop,涉及到时钟的建模/约束,这里就来聊聊数字中的时钟(与建模)吧。主要内容如下所示:    ·同步电路与异步电路;    ·时钟/时钟树的属性:偏移(skew)与时钟的抖动(jitter)、延时(latency)、转换(transition)时间;    ·内部时钟;...

2020-08-16 17:36:37 878

原创 根据Verilog状态机画门级电路

根据Verilog状态机画门级电路第一次写博客,还不太熟悉工具的使用,记录一下自己的学习过程。账号还是几年前为了下载文档注册的,胡乱的起了个名字,发现名字改不了,呵呵。工具会将状态机综合成什么?以前写Verilog的时候没想过这些,列举一个简单的状态机以及对应的Verilog,如下图所示数电书上有同步时序电路的详细讲解,解题步骤是画状态表、卡诺图化简、得到激励方程和输出方程、画出逻辑图。个...

2019-09-19 17:32:30 2084 1

emacs projectile

emacs projectile

2022-06-22

xlrd-0.8.0.zip

python插件用于表格处理

2021-08-22

OrCAD创建大IC逻辑封装的方法

OrCAD是一个大家经常使用的软件,该软件的优点我就不说了。当你面对 一个上百个脚IC 的时候,你是不是像以前那样一个个放引脚…估计做一个IC你 也累得头晕眼花了…而且很容易错…现在给大家提供一个简单的方法,HE HE… 可能有些朋友不知道…HE HE…现在来谈谈如何快速建立一个大的IC逻辑封装 的方法。

2018-08-02

orcad capture零件库解析

1' AMPLIFIER.OLB 共182个零件,存放模拟放大器IC,如CA3280,TL027C,EL4093等。 2' ARITHMETIC.OLB 共182个零件,存放逻辑运算IC,如TC4032B,74LS85等。 3' ATOD.OLB 共618个零件,存放A/D转换IC,如ADC0804,TC7109等。 4' BUS DRIVERTRANSCEIVER.OLB 共632个零件,存放汇流排驱动IC,如74LS244,74LS373等数字IC。 5' CAPSYM.OLB 共35个零件,存放电源,地,输入输出口,标题栏等。 6' CONNECTOR.OLB 共816个零件,存放连接器,如4 HEADER,CON AT62,RCA JACK等。 7' COUNTER.OLB 共182个零件,存放计数器IC,如74LS90,CD4040B。 8' DISCRETE.OLB 共872个零件,存放分立式元件,如电阻,电容,电感,开关,变压器等常用零件。 9' DRAM.OLB 共623个零件,存放动态存储器,如TMS44C256,MN41100-10等。 10' ELECTRO MECHANICAL.OLB 共6个零件,存放马达,断路器等电机类元件。

2018-08-02

quartues ii 11.0 破解器

quartues ii 11.0 破解器

2015-08-29

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除