标题中冒号的用法_如果论文题目中出现冒号,冒号前后两部分内容通常是?

1、冒号式标题是复合标题的一种。

复合式标题具有信息性与吸引性而成为研究性论文标题结构的一种典型模式,在国外科技期刊为领域尤为广泛。复合式标题需要使用标点符号衔接前后两部分内容,其中有四种常用的衔接符号冒号、破折号、问号、句号。每种衔接符号的使用情况如下:

表3来源:刘永芳. 我国科技期刊英文复合式标题常见问题——基于中外对比与分析[J]. 中国科技期刊研究, 2015 (2015 年 11): 1205-1211.

上表显示:英文复合式标题的主副标题之间的衔接符号以冒号为主两个语料库的使用比例都高达80% 以上;

破折号和问号的使用频率则呈现显著性差异。国内期刊破折号的使用比例为 17.1%,是国际期刊 (5.9%)的近3倍;问号只有2例,而在国际期刊中多达 28例。

原因分析与研究结论:国际英文科技期刊的标题中较少使用破折号是因为英语中的破折号主要用于非正式的语体或喜剧作品,并不适用于严肃、正式的学术性研究论文。而在汉语语境下的破折号则可以出现在正式文体中,用于引出对概念内涵的解释、总括性的说明、对事情原因的解释、补充说明 等。

由于破折号功能在中西方的差异,学术研究论文的作者和编辑越来越多地使用冒号。但是由于受汉语习惯的影响,在翻译时将中文破折号直译为英文破折号的情况还大量存在。

在国际科技期刊中以问号作为衔接符号的复合式标题往往是把主标题作为疑问句,这样可以增强标题的吸引力,引起读者注意,同时也可以引发读者思考。

2、冒号式标题

在学术类作品中,赵玉闪和金朋荪指出,冒号式标题的两部分的关系是同位、细节补充、分层说明,有时也可表示疑问、重点、综述,因此应根据具体情况,对冒号式标题进

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 在 SystemVerilog ,双冒号 `::` 用于指定一个标识符的作用域。它的一般语法如下: ``` <scope1>::<scope2>::<...>::<scopeN>::<identifier> ``` 其,`<scope1>` 到 `<scopeN>` 是标识符所在的作用域,`<identifier>` 是要访问的标识符名称。使用双冒号可以帮助区分同名的标识符,并且可以在不同的作用域访问它们。 例如,假设有一个类 A,其包含一个名为 B 的成员变量,同时在 A 的作用域外还定义了一个名为 B 的变量。为了访问类 A 的成员变量 B,可以使用如下的语法: ``` A::B ``` 而为了访问 A 外面的变量 B,可以使用以下语法: ``` ::B ``` 双冒号还可以用于访问命名空间的标识符,例如: ``` namespace my_namespace { int my_variable; } // 访问 my_namespace 命名空间的 my_variable 变量 my_namespace::my_variable ``` ### 回答2: 在SystemVerilog,双冒号(::)是一种特殊的运算符,用于引用包含在类或命名空间的成员。 在类,双冒号可以用来访问静态成员或嵌套类。例如,如果有一个类A内部定义了一个嵌套类B,可以使用A::B来引用该嵌套类。同样,如果类A有一个静态变量x,可以使用A::x来访问该静态变量。 在命名空间,双冒号用于访问命名空间的成员。命名空间是一种用于将全局变量、函数和类组织起来的容器。例如,如果有一个命名空间NS内部定义了一个类A和一个函数foo,可以使用NS::A来引用该类,使用NS::foo来调用该函数。 双冒号还可以用于区分局部变量和全局变量。当局部变量和全局变量同名时,使用双冒号可以明确指定要使用的变量是全局变量,而不是局部变量。 总之,SystemVerilog的双冒号(::)运算符是用于引用包含在类或命名空间的成员的一种特殊符号。它可以用来访问静态成员或嵌套类,在命名空间引用成员,以及区分同名的局部变量和全局变量。 ### 回答3: 在SystemVerilog,双冒号(::)的使用与命名空间相关。命名空间是一种将命名标识符进行分组以避免冲突的机制。双冒号用于访问在命名空间的标识符。 双冒号可以在模块、包和类定义使用,用于指定标识符的命名空间。具体来说,双冒号用于从包或类的命名空间引用成员,或者从一个命名空间引用嵌套的命名空间。 例如,假设有一个名为`my_package`的包含常量和函数的命名空间。要引用该命名空间的常量或函数,可以使用双冒号来访问它们。 ```systemverilog import my_package::*; module my_module; initial begin $display("Constant value: %d", my_package::MY_CONSTANT); my_package::my_function(); end endmodule ``` 上述代码,双冒号用于访问`my_package`命名空间的`MY_CONSTANT`常量和`my_function()`函数。通过使用双冒号,可以避免与其他命名空间的标识符发生冲突。 双冒号还可以用于在类定义引用基类成员。例如,假设有一个类`my_base_class`,派生类`my_derived_class`可以使用双冒号来引用基类的成员。 ```systemverilog class my_base_class; function void my_function; // 基类函数的实现 endfunction endclass class my_derived_class extends my_base_class; function void my_function; // 子类函数的实现 // 通过双冒号访问基类函数 super::my_function(); endfunction endclass ``` 在上述代码,通过使用双冒号和`super`关键字,派生类`my_derived_class`可以访问和调用`my_base_class`的`my_function()`函数。 总之,双冒号(::)在SystemVerilog用于命名空间的访问。它可以用于引用包或类的成员,并且能够防止标识符冲突。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值