VIVADO生成MCS

tcl console里面执行 

write_cfgmem -format mcs -interface spix4 -size 128 -loadbit "up 0 E:/x.bit" -file  x.mcs 

 

 

Ffor CFGBVS and CONFIG_VOLTAGE  as:

set_property CFGBVS Vcco [current_design]

set_property config_voltage 2.5 [current_design]

 

The options for CFGBVS are VCCO or GND. 

The options for config_voltage are 1.8/2.4/3.3

 

1.SPI flash约束
这里spi flash约束是针对博兰锐思主板M7的N25Q128A13BSF40E SPI flash进行的约束

set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property BITSTREAM.GENERAL.COMPRESS true [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property BITSTREAM.CONFIG.SPI_FALL_EDGE Yes [current_design]

2.BPI flash

这里bpi flash 是针对VC707的 PC28F00AG18FE BPI flash进行的约束

set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
set_property BITSTREAM.CONFIG.BPI_SYNC_MODE Type1 [current_design]
set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN div-1 [current_design]
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property BITSTREAM.CONFIG.UNUSEDPIN Pullup [current_design]
set_property CONFIG_MODE BPI16 [current_design]
set_property CFGBVS GND [current_design]
set_property CONFIG_VOLTAGE 1.8 [current_design]

转载于:https://www.cnblogs.com/fpga/p/5468112.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值