关于OrCAD Capture CIS导网表出现问题的解决方法

在Capture CIS中完成原理图编辑修改后,导出网表时,出现了以下错误:

 

#192 ERROR(ORCAP-36004): Conflicting values of part name found on different sections of "U1".

Conflicting values: EP4CE75F23C8N_FG484_1D0_12X12MM_(S1+S2+S3+S4)_EP4CE75F23C8N & EP4CE75F23C8N_4_FG484_1D0_12X12MM_(S1+S2+S3+S4)_EP4CE75F23C8N

Property values of "Device","PCB FootPrint", "Class" and "Value" should be identical

 on all sections of the part.

#193 ERROR(ORCAP-36018): Aborting Netlisting... Please correct the above errors and retry.

 

问题出现情况:

在CIS中,我要对其中一个元件的原理图封装进行了一点修改(更改芯片的一个引脚名),该FPGA元件分为4个Part,右键点击需要更改引脚的Part4,选择Edit Part。

完成编辑后Close,弹出窗口选择Update Current;原理图保存生成网表时,却出现了以上的错误。

 

问题解决:

1、右键点击刚修改过的FPGA元件的Part4,点击Edit Part,进入元件编辑界面,跳转到该元件的Part4;

2、然后选择Options -> Package Properties,如下图所示:

 

3、弹出如下窗口;

 

注意到该Part Name变成了EP4CE75F23C8N_4,多了个尾缀_4,将该尾缀去掉,保存并更新元件;

然后再导出网表,以上出现的错误问题解决。

 

小结:

出现以上问题的根源是:在编辑完元件后,软件自动将该Part部分的Name添加了尾缀_4,导致该Part部分与其它三个Part名称不同,但是它们其它的属性(如:Device、PCB FootPrint、Class、Value等)又都是相同的,造成冲突。

按照以上方法更改相关Part名称后,即可解决该问题。

以后在原理图中在线修改元件的原理图封装时(尤其一个元件被划分为多部分时),尤其需注意避免该问题的出现。

 

转载于:https://www.cnblogs.com/asus119/archive/2013/04/18/3029524.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值