四位计数器

1  同步复位

异步复位指复位不受时钟控制,但其他操作仍然与clk有关;同步复位指复位信号和时钟同步。

2 四位计数器的电路运行流程图

   1)计数器verilog代码

`timescale 1ns/1ns
module count4(out,reset,clk);
input reset,clk;
output[3:0] out;
reg[3:0] out;

always @(posedge clk)
begin
if (reset)
out<=0; //同步复位
else
out<=out+1'b1; //计数
end
endmodule

2) testbench测试文件

`timescale 1 ns/ 1 ns
module count4_vlg_tst();
/*Make a reset that pulses once.*/
reg reset=0;
initial
begin
#2 reset = 1; //reset
#3 reset = 0; //start count
#24 reset = 1; //reset
#2 reset = 0; //start count
#48 reset = 1; //reset
#1 reset = 0; //start count
#60 reset = 1; //reset
#3 reset = 0; //start count
#100 $stop;
end
/

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值