Verilog语言 四位计数器

module exa0519(
Q,clock,clear,rst_n
);
input clock;
input rst_n;
output Q;
output   clear;
wire clock;
wire rst_n;
reg  clear;
//输出变量Q被定义为寄存器类型
reg [3:0]  Q;
always @( negedge rst_n or posedge clock) begin
    if (!rst_n) begin
        Q<=1'b0;
        clear<=1'b0;
    end
    else if (Q==5'b01111)
    begin
        Q<=4'b0;
        clear<=1'b1;
    end
     else if (Q<5'b01111)
    begin
        Q<=Q+4'b1;
        clear<=1'b0;
    end
end
endmodule
module tb();
reg clock;
reg rst_n;
wire clear;
wire   [3:0]Q;
initial begin
    clock=0;
end
always #5 clock=~clock;
initial begin
    rst_n=1'b0;
    #1 rst_n=1'b1;
end
exa0519 uut(
    .clock(clock),
    .clear(clear),
    .rst_n(rst_n),
    .Q(Q)
);
endmodule

仿真结果:

 

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值