在verilog设计中实例化VHDL单元

在verilog设计中实例化VHDL单元。
如果是实例化一个VHDL实体,首先声明一个与你要实例化的VHDL实体同名的module名字,形成一个一般的verilog实例。只有一个实例化的VHDL结构在verilog中构建,没有其他的VHDL结构在verilog中可见。当如此做时,XST使用entity和architecture对作为verilog或VHDL的边界。
XST在verilog设计中实例化VHDL单元时有以下限制:
1.
外部端口联接必须使用。也就是说,通常的有效的端口名字必须在端口映射表中定义。
2.
所有的parameter必须在实例化时传输,即使他们没有变化。
3.
所有的参数通过名字关联而非顺序,所有的参数覆盖必须用实例化而非defparams.
例如:以下是正确的:
ff #(.init(2'b01)) u1 (.sel(sel),.din(din),.dout(dout));
错误的:
ff u1 (.sel(sel),.din(din),.dout(dout));
defparam u1.init = 2'b01;

转载于:https://www.cnblogs.com/zhangzhi/archive/2009/12/04/1616819.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值