AHB总线RAM Verilog实例

本文介绍了如何使用Verilog语言实现AHB总线接口的RAM模块,详细讲解了设计过程和关键代码,适合FPGA或ASIC设计者参考。
摘要由CSDN通过智能技术生成
  1 //***************************************************************************
  2 //   Copyright(c)2017, Lyu Yang
  3 //   All rights reserved
  4 //
  5 //   File name        :   ahb_ram.v
  6 //   Module name      :   
  7 //   Author           :   Lyu Yang
  8 //   Email            :   
  9 //   Date             :   2016-12-00
 10 //   Version          :   v1.0
 11 //
 12 //   Abstract         :
 13 //
 14 //   Modification history
 15 //   ------------------------------------------------------------------------
 16 // Version       Date(yyyy/mm/dd)   name
 17 // Description
 18 //
 19 // $Log$
 20 //***************************************************************************
 21 `timescale 1ns / 100ps
 22 module apb_ram #(
 23     parameter   AW = 10,
 24                 DW = 32
 25 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值