FPGA之流水灯

 1 module move_led
 2 (
 3     clk,
 4     rst,
 5     led
 6 );
 7 
 8 input clk;
 9 input rst;
10 
11 output [5:0]led;
12 
13 reg [23:0]count;
14 always @(posedge clk or negedge rst)
15 begin
16     if(!rst) count <= 24'd0;
17     else if(count == 24'hffffff) count <= 24'd0;
18     else count <= count + 1'd1;
19 end
20 
21 reg [5:0]led_r;
22 always @(posedge clk or negedge rst)
23 begin
24     if(!rst) led_r <= 6'b111_110;
25     else if (count == 24'hfffffe) led_r <= {led_r[4:0],led_r[5]};
26     else led_r <= led_r;
27 end
28 
29 assign led = led_r;
30 
31 endmodule

 

转载于:https://www.cnblogs.com/wojiaoxiaodian/p/4921955.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值