6.Inout双向端口信号处理方法

Verilog中inout端口的使用方法

(本文中所有Verilog描述仅为展示inout端口的用法,实际描述则需要更丰富的功能描述)

Inout端口的使用

在芯片中为了管脚复用,很多管脚都是双向的,既可以输入也可以输出。在Verilog中即为inout型端口。Inout端口的实现是使用三态门,如FPGA中的管脚复用部分:

三态门的第三个状态是高阻态Z。在实际电路中高阻态意味着响应的管脚悬空、断开。当三态门的控制信号为真时,三态门导通;控制信号为假时,三态门的输出端是高阻态。

Verilog中的inout端口被综合为如下形式:

Inout端口是一个很容易出错的地方,理解inout端口模型必须要抓住三点:

  1. inout端口不可能独立存在;
  2. 作为输入必须有reg型缓冲(一个inout两个控制信号);
  3. 相连的两个inout端口由一对信号交错控制;

下面一一分析。

  1. inout端口不可能独立存在:

分析inout的端口特性,对于一个模块来说,inout端口既可以当做输入,又可以当做输出,那么,与inout端口相连的另一模块是什么情形呢?显然,另一模块也应该是inout端口,inout端口不可能独立存在。但是在实际编写Verilog代码的过程中,却常常忽略这一点,而仅仅是另一模块的一条输出线和一条输入线同时连接到inout端口上,乍看起来符合逻辑,实则不然。

以下图为例:

图中的存储器Memory用RAM实现,无论CPU还是RAM都只有一组数据总线,而图中输入给Memory的有WriteData,从Memory输出的有MemData&#x

  • 0
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在FPGA设计中,inout信号是指既可以作为输出又可以作为输入的信号。在Verilog中,可以使用关键字inout来定义双向信号。处理inout信号有两种方法。 第一种方法是使用assign语句。根据代码逻辑进行综合,可能会综合成三态门,但不一定使用IOBUF这种资源。例如,可以使用以下代码来定义inout信号a: assign a = in_or_out ? 1'dz : out; 第二种方法是使用原语。以Xilinx的IOBUF为例,可以使用OBUFT原语来实现三态门。其中,T是控制端,当信号作为输出时,信号走向为红色路线;当信号作为输入时,OBUFT关断,信号走向为蓝色路线。以下是使用IOBUF原语的示例代码: IOBUF IOBUF( .I(要输出的信号), .O(外部输入的信号), .T(三态控制), .IO(外部引脚) ); 在综合中,inout信号会以三态门的硬件形式存在。但在波形仿真中,为了正确观察仿真波形,需要特殊的操作方法。在inout信号的仿真中,测试激励文件中的inout口必须定义为wire类型。建议将inout口的输入仿真和输出仿真分开进行,尤其是在涉及到互相交互变换的情况下,因为这样可以减少仿真激励文件的编写难度。在进行inout口的输入仿真时,将该端口视为单纯的wire类型,在仿真波形中可能会看到被测试模块输出的高阻信号。在进行inout口的输出仿真时,需要在测试激励文件中定义一个三态门,并将其与该端口相连,这样才能正确观察到该端口的输入波形信号。\[1\]\[2\]\[3\] #### 引用[.reference_title] - *1* [Verilog使用inout信号的方法](https://blog.csdn.net/qq_41687938/article/details/125335872)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [FPGA实现inout的两种方法](https://blog.csdn.net/weixin_46029080/article/details/129442570)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [FPGA设计中inout端口信号的仿真测试](https://blog.csdn.net/zhtysw/article/details/90348043)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值