[三态门原理]VHDL中的INOUT双向口使用

本文介绍了VHDL中INOUT双向口的使用,探讨了为何需要赋值“ZZZZZZZZ”以实现高阻态,以及三态门在解决线与问题中的作用。通过实例解释了高阻态如何防止输出值影响输入,并防止电路损坏。
摘要由CSDN通过智能技术生成

在第一次VHDL实验中,要求实现下图所示的非常简单的逻辑功能:

 由于VHDL中实现逻辑功能的语言与C语言类似,稍微学习一下VHDL的语法,很容易就写出了实现该逻辑功能的语句:

IF S="00" THEN
	A<=C;
ELSIF S="01" THEN
	C<=A;
ELSE
	C<=B;
END IF;

但是这样的仿真结果会出现右图所示的“不确定”信号:

从网上找到的代码与笔者想的代码稍有不同:

IF S="00" THEN
	C<="ZZZZZZZZ";A<=C;
ELSIF S="01" THEN
	A<="ZZZZZZZZ";C<=A;
ELSE
	C<=B;
END IF;
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值