计算机控制单元设计,计算机组成原理CPU与控制单元设计(Part5).ppt

253b171540df25e1b84436cbe50dfc72.gif计算机组成原理CPU与控制单元设计(Part5).ppt

计算机组成原理与汇编语言 2006级 北航计算机学院刘旭东 Tel 82316285Mail liuxd liuxd 第五部分CPU与控制单元设计 一 CPU的功能与组成二 数据通路设计三 指令执行流程分析四 组合逻辑控制器设计五 微程序原理六 微程序控制器设计 一 CPU的功能与组成二 数据通路设计三 指令执行流程分析四 组合逻辑控制器设计五 微程序原理六 微程序控制器设计 指令的执行过程回顾 第一讲 根据PC内容从存储器取指令送IR 指令译码器译码 解释指令 形成微操作控制信号 在控制信号的作用下取操作数送运算器 运算器执行指令功能 保存指令结果 形成下条指令的地址并送PC 根据PC内容从存储器取指令送IR 指令译码器译码 解释指令 形成微操作控制信号 在控制信号的作用下取操作数送运算器 运算器运行 保存结果 形成下一条指令地址送PC 1 1CPU的功能与组成 CPU的功能 控制指令执行取指令 从存储器中读出指令指令地址部件 指明当前要读取的指令在存储器中的地址指令寄存部件 保存从存储器中取来的指令分析指令 分析指令的操作性质以及操作对象的位置 地址 译码部件 对指令进行译码执行指令 实现指令应该具有的操作功能控制信号逻辑部件 根据指令的操作性质和操作对象的地址 译码结果 在时序信号配合下 产生一系列的微操作控制信号 从而控制计算机的运算器 存储器或输入输出接口等部件工作 实现指令所表示的功能 时序部件 提供计算机基准时序信号 1 1CPU的功能与组成 CPU的组成运算单元 算术逻辑运算单元 ALU 寄存器 通用寄存器组 GPRs 标志寄存器 FR 又称程序状态字PSW 临时寄存器 TR 控制单元 CU 指令地址部件 程序计数器 PC 指令寄存部件 指令寄存器 IR 译码部件 指令译码器 ID 控制部件 微操作控制信号产生部件时序部件 产生时序信号内部总线 CPU内部数据通路 InternalBus 1 1CPU的功能与组成 CPU内部结构执行单元 EU 控制单元 CU 寄存器单元中断系统内部总线 1 1CPU的功能与组成 CPU内部的寄存器通用寄存器 GeneralRegister 用户可见 汇编语言可使用 数据寄存器 基址寄存器 变址寄存器 堆栈指针 标志寄存器等控制与状态寄存器 用户不可见 为系统控制专用 MAR MemoryAddressRegister 存储器地址寄存器MBR MDR MemoryBufferRegister MemoryDataRegister 存储器数据缓冲器PC ProgramCounter 程序计数器IR InstructionRegister 指令寄存器临时寄存器 TemporaryRegister 用户不可见 1 1CPU的功能与组成 执行单元 EU cutionUnit 执行单元的核心 算术逻辑运算单元 ALU 完成算术运算 逻辑运算 移位运算等操作 寄存器 提供数据缓冲 控制单元 CU ControlUnit 指令寄存器IR指令译码器ID InstructionDecoder 时序部件 提供各种时序信号控制信号生成部件 产生计算机其他部件所需要的所有微操作控制信号 分组合逻辑和微程序两种实现方式 中断系统检查中断信号 中断CPU的正常程序执行 处理异常事务 一 CPU的功能与组成二 数据通路设计三 指令执行流程分析四 组合逻辑控制器设计五 微程序原理六 微程序控制器设计 2 1模型机结构简述 单总线结构 CPU 存储器 模型机CPU结构描述简化的8086 8088结构 16位系统 内部总线连接通用寄存器部件 AX BX CX DX SP BP SI DI 主存地址寄存器MAR主存数据寄存器MDR暂存器C D Z程序计数器PC指令寄存器IR运算单元ALU控制单元 2 2模型机的指令系统 双操作数指令格式 RR型或RS型 必有一个操作数在寄存器中 寄存器直接寻址 长度2 4个字节 前2个字节必须 Opcode 操作码 6位 d 方向字段 1位 在第二个字节中 REG确定一个操作数 寄存器直接寻址 MOD和R M确定零一个操作数的寻址方式 方向字段d表明REG确定的是源操作数还是目的操作数 d 1 REG确定目的操作数 MOD R M确定源操作数d 0 REG确定源操作数 MOD R M确定目的操作数W 字 字节字段 1位 操作数是字节 8位 还是字 16位 W 1 字 16位 W 0 字节 8位 2 2模型机的指令系统 双操作数指令格式 2 2模型机的指令系统 双操作数指令格式 2 2模型机的指令系统 单操作数指令格式 指令分类传送指令 MOVDest Src算术指令 ADDDest SrcSUBDest SrcANDDest SrcORDest SrcXORDest Src单操作数指令 INCDest DECDest NEGDest NOTDest移位指令 SALDest 1 SARDest 1程序控制指令 转移指令 转子指令等 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 存储器 存储指令和数据PC 当前指令地址IR 存储当前指令 IR 2 3数据通路设计 1 指令相关 组成 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 送地址 PC AB Mem保存指令 读存储器 Mem DB IR修改PC IR 2 3数据通路设计 2 指令相关 取指数据通路 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 通用寄存器组 AX BX CX DX SP BP SI DI算术逻辑运算单元ALU IR 2 3数据通路设计 3 寄存器 ALU相关 组成 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 存储器到寄存器 Mem DB GPRs保存ALU结果 ALU GPRs寄存器间传送 GPRs GPRs IR 2 3数据通路设计 4 寄存器 ALU数据通路 寄存器输入 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 保存寄存器内容 GPRs DB Mem寄存器间接寻址 GPRs AB寄存器运算 GPRs ALU IR 2 3数据通路设计 5 寄存器 ALU数据通路 寄存器输出 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 寄存器运算 GPRs ALU存储器 运算寻址计算转移地址计算 PC ALU IR 2 3数据通路设计 6 寄存器 ALU数据通路 ALU输入 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 输出到寄存器 ALU GPRs结果保存到存储器 ALU DB Mem操作数有效地址计算 ALU AB转移地址计算 ALU PC IR 2 3数据通路设计 7 寄存器 ALU数据通路 ALU输出 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 连接关系复杂引入内部总线GPRs ALU PC之间的传送通过内部总线GPRs ALU PC IR与DB AB之间的传送通过内部总线 IR 2 3数据通路设计 8 寄存器 ALU数据通路改进 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IB与GPRs之间 GPRs IB IB GPRsIB与ALU之间 ALU IB IB ALUIB与PC之间 IB PC PC IBIB与IR之间 IB IR IR 2 3数据通路设计 9 CPU内部总线 内部总线 IB 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IB与DB之间 数据总线通过IB将数据送CPU内部部件IB DB DB IBIB与AB之间 AB通过IB接收CPU内部计算出来的地址IB AB IR 2 3数据通路设计 9 CPU内部总线 内部总线 IB 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IB与AB之间 地址驱动 加入MARIB MAR MAR ABIB与DB之间 数据缓存 加入MDRIB MDR MDR DBDB MDR MDR IB IR 2 3数据通路设计 10 外部总线接口 内部总线 IB MAR MDR 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 ALU结果暂存 增加寄存器ZALU数据输入缓存 增加寄存器DCPU内部数据缓存 增加寄存器C IR 2 3数据通路设计 11 内部数据缓存 临时结果保存 内部总线 IB MAR MDR Z C D 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 内部数据缓存 暂存的作用 ADDAX BXMOVAX 1000H BX MOVCX AX IR 2 3数据通路设计 11 内部数据缓存 临时结果保存 内部总线 IB MAR MDR Z C D ADDAX BX MOVAX 1000H BX MOVCX AX 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 调整D的数据通路 支持移位操作结果回写寄存器 IR 2 3数据通路设计 11 内部数据缓存 临时结果保存 内部总线 IB MAR MDR Z C D SARAX 1 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 标志寄存器FR 反映当前CPU状态状态产生 ALU状态 FR 其他状态 FR状态保存 FR IB 如入栈 IR 2 3数据通路设计 12 标志寄存器FR通路 内部总线 IB MAR MDR Z C D FR 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 所有可能路径寄存器 寄存器寄存器 存储器ALU 寄存器ALU 存储器内部总线规范内部互连简化通路设计 IR 2 3数据通路设计 小结 内部总线 IB MAR MDR Z C D FR 控制信号的作用功能部件控制信号选择具体的操作功能 如ALU操作控制信号 移位寄存器移位操作控制信号等数据通路控制信号允许 禁止相应部件输出数据寄存器部件写入脉冲锁存寄存器输入数据 2 4控制信号设计 2 4控制信号设计 控制信号设计示例A C A输出到总线 A BUS 同时C写入脉冲 CPC B C B输出到总线 B BUS 同时C写入脉冲 CPC 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 1 PC相关 内部总线 IB MAR MDR Z C D FR PC复位 CLPC自动调整 PC PC输出使能PC IBPC写入脉冲CPPC 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 2 IR相关 内部总线 IB MAR MDR Z C D FR IR写入脉冲CPIR 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 3 通用寄存器相关 内部总线 IB MAR MDR Z C D FR 寄存器选择 GRAdd寄存器读使能 GRRD寄存器写入脉冲CPGR寄存器输出使能GR IB字 字节选择 W B 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 4 ALU相关 内部总线 IB MAR MDR Z C D FR ALU操作选择 A B A B B 1结果写入脉冲 CPZ标志写入脉冲CPFR结果输出使能 Z IB标志输出使能 FR IB A B 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 5 缓存器D相关 内部总线 IB MAR MDR Z C D FR 移位操作 SAR 右移 SAL 左移 D写入脉冲 CPDD输出使能 D IB A B 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 6 暂存器C相关 内部总线 IB MAR MDR Z C D FR C写入脉冲 CPCC输出使能 C IB A B 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 7 地址寄存器MAR相关 内部总线 IB MAR MDR Z C D FR MAR写入脉冲 CPMARMAR输出使能 MAR AB A B 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 8 数据寄存器MDR相关 内部总线 IB MAR MDR Z C D FR MDR写入脉冲 CPMDRMDR输出到DB MDR DBMDR输出到IB MDR IB字 字节选择 W B A B 存储器 PC 地址总线 AB 数据总线 DB 控制总线 CB CPU内部 IR 2 4控制信号设计 9 存储器相关 内部总线 IB MAR MDR Z C D FR 存储器读 RD存储器写 WR字 字节选择 W B A B 功能部件的控制信号用于功能部件的操作选择ALU 加 减 加1等移位寄存器 右移 左移等存储器 读 写等寄存器部件的共性输出控制 输出使能 传送至总线 IB DB AB 写入脉冲 锁存脉冲 2 4控制信号设计 小结 2 4模型机完整结构 返回 一 CPU的功能与组成二 数据通路设计三 指令流程与组合逻辑控制器四 微程序原理五 微程序控制器设计 3 1控制方式与时序系统 指令周期取指周期 从存储器取出指令送指令寄存器全过程 由一系列的微操作按顺序执行构成 取数周期 间址周期 计算操作数有效地址 取出操作数 执行周期 执行指令的功能每个周期 取指 取数 执行 都由一系列的微操作构成 比如取指周期微操作序列 指令地址送到MAR微操作 记为PC MAR存储器读微操作 记为1 RD指令 对应的存储单元内容 通过数据总线送到MDR微操作 M MAR MDR调整PC以指向下一条指令地址微操作 记为PC PC指令从MDR送到IR微操作 记为MDR IR 3 1控制方式与时序系统 多级时序系统主时钟脉冲 系统时序基准 节拍 完成一个微操作所需的时间 同步控制方式下 节拍作用部分的宽度正好等于一个主时钟周期 机器周期 指令执行过程中的一个相对基准时间 包括若干个节拍周期 3 1控制方式与时序系统 控制方式同步控制方式 以主时钟为基础统一机器周期 所有机器周期包含相同节拍不同节拍机器周期 机器周期的节拍数不一致中央控制与局部控制相结合异步控制方式同步控制方式下的时序系统脉冲时序信号 主时钟脉冲节拍信号 T1 T2 T3 T4机器周期信号 M1 M2 M3 M4指令周期与机器周期 节拍周期的关系同步控制方式下 指令周期包括多个 不一定固定 机器周期 每个机器周期包括固定个数的节拍周期 3 1控制方式与时序系统 时序系统示例 3 1控制方式与时序系统 时序部件 3 2指令流程与微操作时间表 指令周期分析 针对模型计算机及其指令系统而言 取指周期的微操作流程MOV指令的微操作流程单操作数指令的微操作流程双操作数指令的微操作流程其他指令的微操作流程 3 2指令流程与微操作时间表 指令的执行过程取指 从存取器读取当前指令送到指令寄存器 IR 要根据指令编码的长度才能确定读取指令的详细流程 取数 计算操作数地址 读取操作数 要根据操作数的来源与寻址方式才能确定具体的操作过程 执行 执行并送结果 3 2指令流程与微操作时间表 模型机取指周期分析机器字长16bits 每一次从存储器能读取16bits指令的核心部分占16bits指令编码长度有 2个字节 16位 4个字节 32位 甚至6个字节 取指部件在读取第一个16bits后 才能分析出指令的基本特征 才能获知后续字节是当前指令的另一部分 还是下一条指令 如果把读取第一个16bits称之为取指周期 那么在这种前题下 所有指令的取指周期是完全一样的 3 2指令流程与微操作时间表 取指周期的微操作流程取指流程需要4个节拍指令地址送MAR存储器读出指令指令送MDR 并调整PC指令送IR每条指令执行过程的第一个机器周期M1都是取指周期 取到指令核心部分 结构图 3 2指令流程与微操作时间表 MOVAX BX指令执行的微操作流程指令长度 16位 一次可读取完毕 指令代码 89DBH 读取指令周期 机器周期M1内完成执行周期 机器周期M2内完成 结构图 3 2指令流程与微操作时间表 MOVAX 1000H BX 指令执行的微操作流程指令长度 32位 分两次读取读取指令周期 机器周期M1内完成 读第一个16位 读变址值周期 机器周期M2内完成取操作数周期 机器周期M3内完成执行周期 机器周期M4内完成 结构图 3 2指令流程与微操作时间表 结构图 3 2指令流程与微操作时间表 结构图 3 2指令流程与微操作时间表 MOV1000H BX AX指令执行的微操作流程指令长度 32位 分两次读取 指令代码 89870010H 读取指令周期 机器周期M1内完成 读第一个16位 读变址值周期 机器周期M2内完成取操作数周期 机器周期M3内完成 结构图 3 2指令流程与微操作时间表 结构图 3 2指令流程与微操作时间表 结构图 ADD2000H BX 1000H指令执行的微操作流程指令长度 48位 分三次读取读取指令周期 机器周期M1内完成 读第一个16位 读变址值周期 机器周期M2内完成取操作数周期 机器周期M3内完成取立即数 完成加法 机器周期M4内完成执行周期 机器周期M5内完成 3 2指令流程与微操作时间表 结构图 3 2指令流程与微操作时间表 结构图 3 2指令流程与微操作时间表 结构图 JNE1200指令执行的微操作流程指令长度 假定占32位 分两次读取不相等时转移 相对寻址 目标地址 PC 1200读取指令周期 机器周期M1内完成 读第一个16位 读转移地址周期 机器周期M2内完成 3 2指令流程与微操作时间表 结构图 3 3微操作信号的综合 译码与微操作控制信号部分逻辑图 3 3微操作信号的综合 例IB MDR微操作控制信号的综合示例中的所有指令的M1 T4节拍MOVAX 1000H BX 指令的M2 T4节拍 条件 操作码译码为MOV 源操作数寻址译码为变址寻址 MOV1000H BX AX指令的M2 T4节拍 条件 操作码译码为MOV 目的操作数寻址译码为变址寻址 ADD2000H BX 1000H指令的M2 T4 M3 T4和M4 T4节拍 条件 操作码译码为ADD 目的操作数寻址译码为变址寻址 源操作数寻址为立即数寻址 JNE1200指令的M2 T4节拍 3 3微操作信号的综合 例1 IB MDR微操作控制信号的综合Sx表示源操作数是变址寻址 SR表示寄存器直接寻址 可以理解为译码器的输出端 Dx表示目的操作数是变址寻址Simm表示源操作数是立即数寻址OPMov OPAdd和OPJne表示操作码译码输出 3 3微操作信号的综合 IB MDR微操作控制信号的布尔表达式 3 3微操作信号的综合 例2 IB Z微操作控制信号的综合MOVAX 1000H BX 指令的M3 T2节拍 条件 操作码译码为MOV 源操作数寻址译码为变址寻址 MOV1000H BX AX指令的M3 T2节拍 条件 操作码译码为MOV 目的操作数寻址译码为变址寻址 ADD2000H BX 1000H指令的M3 T1 M5 T2 条件 操作码译码为ADD 目的操作数寻址译码为变址寻址 源操作数寻址为立即数寻址 JNE1200指令的M3 T2节拍 条件为Z 0 3 3微操作信号的综合 例IB Z微操作控制信号的综合Sx表示源操作数是变址寻址 可以理解为译码器的输出端 Dx表示目的操作数是变址寻址Simm表示源操作数是立即数寻址OPMov OPAdd和OPJne表示操作码译码输出 3 3微操作信号的综合 IB Z微操作控制信号的布尔表达式 依照上述方式构造的控制器称为组合逻辑控制器 硬连线控制器 3 3微操作信号的综合 微操作控制信号C的布尔函数 3 3微操作信号生成部件的实现 控制部件的实现硬连线实现方式组合逻辑电路可编程逻辑阵列PLA可编程阵列逻辑PAL通用阵列逻辑GAL微程序控制器 本章作业 P3871 5 7 11 一 CPU的功能与组成二 数据通路设计三 指令流程与组合逻辑控制器四 微程序原理五 微程序控制器设计 4 1微程序原理 基本思路指令的执行 按顺序执行一系列的微操作 每一个时钟周期 节拍 完成一个或多个微操作 微操作 实际上是控制器送出的控制线 具有1和0两种状态 将指令执行微操作序列中一个节拍内同时完成的微操作用一个二进制代码串来表示 这就是微指令 微指令的执行 通过某种逻辑产生该微指令所表示的微操作控制信号 送控制总线 并维持一个时钟周期 指令的执行 微指令的序列微指令序列 微程序一条机器指令对应一段微程序将所有指令对应的微程序保存在存储器中 控制存储器 基于这种思想构造的控制器 微程序控制器 3 1微程序原理 微指令1 微指令2 微指令3 微指令4 包含4条微指令的取指微程序 公共微程序 MOV1000H BX AX指令执行的微操作流程 4 1微程序原理 4 1微程序原理 ROM 控制存储器 取指公共微程序 ADD微程序 SUB微程序 AND微程序 控制存储器结构 4 1微程序原理 4 1微程序原理 微指令的构成要素操作控制字段 描述微操作控制信息 以便产生对应的控制信号 顺序控制字段 通过顺序控制字段决定下一条微指令的地址 在控制存储器中的地址 操作控制字段 顺序字段 4 1微程序原理 微程序控制器的原理 微指令执行过程 根据指令译码得到该指令的第一条微指令的地址 读取微指令送微指令寄存器 IR生成微操作信号送控制总线 执行该微指令 顺序逻辑根据顺序控制字段和标志形成下一条微指令的地址并送CMAR 以便读取下一条微指令 4 2微指令格式 操作控制字段编码方式直接控制编码 每一个二进制位代表1个微操作控制信号 1表示执行该微操作 0表示不执行该微操作 不需要译码 分段直接编译 将微操作分组 同一组微操作互斥 不会同时执行 任何时候最多只有一个微操作被执行 组间微操作可能同时执行 组内微操作采用编码方式 从编码到微操作信息需要译码 4 2微指令格式 顺序控制字段条件字段 该微指令执行完后 是否要根据ALU的标志以及根据什么标志来确定下一条微指令在控制存储器中的地址 次地址字段 顺序执行时 指出下一条微指令的地址 有条件转移时 需要与条件字段配合才能确定真正要执行的下一条微指令 微操作控制信息字段 条件字段 次地址字段 4 3微指令的顺序控制 顺序控制技术决定下一条微指令的因素 当前微指令 条件标志 指令操作码顺序执行时 次地址字段直接给定 每条机器指令的微程序的最后一条微指令的次地址字段一定是公共取指微程序的第一条微指令在控制存储器中的地址 公共取指微程序的最后一条微指令的次地址没有什么意义 需要根据操作码的译码结果才能确定下一次要执行的微指令的地址 微指令中有条件转移是的次地址技术 双次地址字段地址逻辑生成次地址 4 3微指令顺序控制 顺序执行的情况 4 3微指令的顺序控制 4 3微指令的顺序控制 双地址字段 4 3微指令的顺序控制 生成次地址 4 4微指令执行的时序控制 微程序控制器的速度与硬接线控制器的速度谁快 4 4微指令执行的时序控制 4 4微指令执行的时序控制 并行微程序控制时当遇到需要本条微指令的执行结果决定下一条微指令的地址时 可采取如下办法 方法一 插入空微指令周期方法二 采用双体控制存储器 插入空微指令 4 4微指令执行时序控制 双体控制存储器 一 CPU的功能与组成二 数据通路设计三 指令流程与组合逻辑控制器四 微程序原理五 微程序控制器设计 5 1微程序设计 微程序设计的基本步骤根据机器结构图写出每一条机器指令的微操作流程 划分微指令 根据机器结构图确定微指令控制字段的编码格式根据条件标志确定微指令条件字段的编码格式根据所有指令的微指令数确定控制存储器的容量和微指令次地址字段的位数 划分公共微指令确定每一条微指令在控制存储器中的地址根据微指令格式编写每一条微指令的编码设计地址转移逻辑 5 2微程序设计举例 5 2微程序设计举例 微指令格式 48位字 控制字段 32位 29个微操作与控制脉冲 从高到低依次是 GR IB PC IB Z IB FR IB D IB C IB MDR IB RDGR CPGR MAR AB MDR DB DB MDR RD WR SAL SAR A B A B B 1 W B P CLPC NOP NOP CPMAR CPMDR CPPC CPIR CPZ CPD CPC NOP条件字段 4位 表示16种状态 可以表示15个不同的ALU标志 还有一无标志状态 顺序执行时 假定用编码0001测试条件标志Z 0次地址字段 假定12位 控制存储器容量为4KW 结构图 5 2微程序设计举例 ROM 取指公共微程序 MOV指令微程序 JNE指令微程序 控制存储器结构 控制存储器空间分配 000003 010017 018020 5 2微程序设计举例 结构图 MOV1000H BX AX指令的微程序 5 2微程序设计举例 结构图 MOV1000H BX AX指令的微程序 5 2微程序设计举例 结构图 JNE1200指令的微程序 5 2微程序设计举例 结构图 JNE1200指令的微程序 JNE执行微程序 作业1 机器结构 时序系统与指令系统格式同本章讲义 写出下列指令的执行微操作流程 SUBAX 2000H DEC0040 BX 减1指令2 P411 2 5 13 17 20

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值