彩灯控制器课程设计vhdl_VHDL花样彩灯控制器的设计

10

一、实验名称

实验

8

:花样彩灯控制器的设计

二、任务及要求

【基本部分】

5

1

、在

QuartusII

平台上,采用文本输入设计方法,通过编写

VHDL

语言程序,设计一

花样彩灯控制器,实现对实验箱

8

LED

发光二极管的显示控制,至少

4

种以上的花样。

2

、实验箱上选择恰当的模式进行验证,目标芯片为

ACEX1K

系列

EP1K30TC144-3

【发挥部分】

5

实现不同的花样播放不同的背景音乐的功能。

三、实验程序

【基本部分】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY CECAIDENG IS

PORT(CLK,RST:IN STD_LOGIC;

SEL:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END CECAIDENG;

ARCHITECTURE COLOR OF CECAIDENG IS

TYPE STATE_1 IS(S0,S1,S2,S3,S4,S5,S6,S7);

SIGNAL STATE_2:STATE_1;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值