matlab生成fpga代码,利用MATLAB程序生成Xilinx FPGA的coe文件

在生成RAM时可以设置RAM的初始化文件(coe),以及在为FIR滤波器生成滤波系数时会涉及到coe文件。程序清单如下:

(1)生成RAM coe文件matlab程序:

%%

===============================================================

%% ================Xilinx FPGA RAM COE文件生成程序===============

%% ===============================================================

clear;close all;clc;

y1 = load('RF1.txt');

y1_1 = y1(1:16384)*128+127.5; %将数据进行必要放大,然后开始将负值抬升为正值

fid = fopen('C: \RF1_coe.coe','wt'); %也可以生成TXT文件之后,将txt后缀改为coe

fprintf( fid, 'memory_initialization_radix=10;\n');

fprintf( fid, 'memory_initialization_vector

=\n' );

fprintf(fid,'%8.0f,\n',y1_1);

fclose(fid);

(2)生成FIR

coe文件matlab程序:

%%

==============================================================

%% ===============  FPGA滤波器核系数转换程序===================

%% ============把matlab产生的滤波器系数文件‘.mat’格式========

%%

==============================================================

clear;close all;clc;

bitwh= 16; %要转换位数的宽度

load t_im20130814;     %% input_file_name.mat要转换的文件名,为滤波器系数变量

s= t_im;

L=length(s);

s1= zeros(1,length(s));

s=round(s*(2^bitwh));

%s=round(s*(2^bitwh)/max(abs(s)));  %%量化(根据实际量化位数进行量化,这里为10bit,数据总长度为12位,符号位1位,正数位1位)

for k=1:length(s)

s1(k)=int32(s(k));

end

fid_s = fopen('E:\t_im20130814.coe','wt');

fprintf(fid_s,'%s\n','radix=10;');

fprintf(fid_s,'%s\n','coefdata=');

fprintf(fid_s,'%0.0f,\n',s1(1:end-1));

fprintf(fid_s,'%0.0f,\n',s1(end));

fclose(fid_s);

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值