ftm模块linux驱动,飞思卡尔K60 FTM模块详解【二】

本文深入探讨飞思卡尔K60微控制器的FTM模块,涵盖其时钟配置、计数模式、PWM模式(边沿对齐和中心对齐)、输入捕捉模式、输出比较模式以及正交解码模式。通过实例代码展示如何在Linux环境下初始化和使用FTM模块,适用于电机控制、PWM信号生成和旋转编码器接口设计。
摘要由CSDN通过智能技术生成

1.5.3 FTM功能详解

1.

FTM模块的时钟

FTM模块的核心是一个16位计数器,该计数器的时钟来源可设置(由FTMx_SC寄存器中的CLKS设置),可来自总线时钟(CLKS=01),也可来自MCG模块的MCGFFCLK,对时钟源可进行分频(由FTMx_SC寄存器中的PS设置)。

blog_852d92f80101l9cb.html

blog_852d92f80101l9cb.html

如果使用固定频率时钟,即fixed

frenquency clock,也就是MCGFFCLK,则需要在MCG模块中设置。MCG模块提供的MCGFFCLK,可以为其它片上周边设备提供时钟,该时钟可由内部慢速参考时钟提供或外部晶振,且由FLL分频后提供。MCGFFCLK由C1_IREFS位设置来自内部32K还是来自外部经过FDIV分频后的时钟,输出到MCGFFCLK还要2分频一次。

MCGFFCLK用于局部总线时钟同步,而且不得大于MCGOUTCLK的1/8。且该时钟在MCG处于BLPI模式和STOP模式下无效。

blog_852d92f80101l9cb.html

一般情况下,只要不是对外部计数功能,我们都会采用Bus Clock为FTM提供时钟。

2.

FTM模块的计数模式

FTM模块在时钟确定后,计数器计数,默认情况下,对分频后输入时钟进行递增无符号计数。计数器初始值由FTMx_CNTIN指定。当一直计数到达FTMx_MOD寄存器的设定值时,TOF置位。计数器回到初始值,循环往复。如下图所示,计数器从0计数到3,循环计数。计数周期时钟周期。

blog_852d92f80101l9cb.html

初始值也可是负数,例如CNTIN=0xFFFC(补码-4),MOD=4,则计数器从-4计数到4,循环计数。当CNTIN的最高位,即CNTIN[15]=1时,初始值作为负数对待,否则视为正数。

FTM计数时,建议MOD值必须大于CNTIN值,编程时需注意。如果MOD==CNTIN,则计数值一直保持MOD值,且TOF位一直置1。

当QUADEN=0(非正交解码模式)且CPWMS=0(加法计数)时,计数器为加法计数,如果设置CPWMS=1,则进入先加后减计数模式。(中心对齐PWM必须将该位置1)。

在先加后减计数模式下,假设CNTIN=0,MOD=4,则计数过程如下

blog_852d92f80101l9cb.html

在该模式下,计数周期=2*(MOD-CNTIN),当计数值从MOD变为MOD-1时,TOF置位。

如FTMEN=0,此时FTM模块功能等同于S08的TPM模块,此时无论MOD=0或者MOD=0XFFFF,此时计数器为一个自由运行的16位计数器。

如FTMEN=1,且QUADEN=0、CPWMS=0时,此时只有MOD=0xFFFF时,才可作为一个自由运行的计数器。

任何对FTM计数器的写入操作,都会复位计数器,计数器的值重新回到CNTIN指定值。也可以使用FTM的同步功能,让计数器重新回到初值,各通道输出也回到初始值。

FTMx_CONF寄存器中的NUMOF[4:0]可设置TOF置位的频率。默认情况下,即NUMOF[4:0]=0,每个计数周期,TOF置位一次,如果NUMOF=n,(n<32),则每n+1个周期,TOF置位一次。

3.

边沿对齐PWM模式

PWM输出实际上利用的就是FTM的输出比较的功能。当QUADEN = 0,DECAPEN = 0,COMBINE= 0,CPWMS = 0,

MSnB = 1时,FTM工作在EPWM模式下,即边沿对齐模式。可用于产生舵机和电机的PWM控制信号。在这个模式下,FTM计数器工作方式和计数模式相同,默认为加法计数,计数到MOD值后自动返回CNTIN值。PWM信号的周期和计数器计数周期有关,PWM周期=(MOD-CNTIN+1)*时钟周期。

PWM信号高低电平切换的时刻,则由CnV值决定,当计数器累加计数到CnV值相等时,PWM信号则翻转。直到计数到MOD值,一个周期结束,信号回到初始值。这种模式的原理和输出比较模式是相同的,当比较成功时,也就是FTM计数值=CnV时

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值