testbench文件显示波形_各种仿真波形文件

各种仿真波形文件

一、仿真波形文件介绍

1.Wlf文件:WLF波形日志文件,是modelsim的专用文件。

这个wlf文件只能是由modelsim来生成,也只能通过modelsim来显示。

在用modelsim做仿真时,仿真结束都会生成一个*.wlf的文件(默认是vsim.wlf)。

下次就可以通过通过modelsim直接打开这个保存下来的波形。

vsim -view vsim.wlf -do run.do

其中run.do中的内容为要查看的波形信号。要强调的是不是一个通用的文件文件格式。

2.VCD文件:VCD 是一个通用的格式。

VCD文件是IEEE1364标准(Verilog HDL语言标准)中定义的一种ASCII文件。

可以通过Verilog HDL的系统函数 $dumpfile,$dumpvars 等来生成。

我们可以通过$dumpvars的参数来规定我们抽取仿真中某个特定模块和信号的VCD数据。

它主要包含了头信息,变量的预定义和变量值的变化信息。正是因为它包含了信号的变化信息,就相当于记录了整个仿真的信息。

可以用这个文件来再现仿真,也就能够显示波形。

另外我们还可以通过这个文件来估计设计的功耗。

因为VCD是 Verilog HDL语言标准的一部分,因此所有的verilog的仿真器都要能实现这个功能。

因此我们可以在verilog代码中通过系统函数来dump VCD文件。

另外,我们可以通过modelsim 命令来dump VCD文件,这样可以扩展到VHDL中。

具体的命令:

vcd file myfile.vcd vcd add /test/dut/*

这个就生

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值