testbench文件显示波形_modelsim仿真没有波形或看不到波形的原因及解决方法 - 全文...

关于modelsim仿真时出现 No objects found matching ‘/*’ 问题在Modelsim6.2系列版本中仿真时,点击start simulateion后,出现Objects空白的问题,导致执行 add wave al l时出现“# (vish-4014) No objects found matching ‘/top_tb/*’。“的报错选项。尽管输入run后,可以运行...
摘要由CSDN通过智能技术生成

关于modelsim仿真时出现 No objects found matching ‘/*’ 问题在Modelsim6.2系列版本中仿真时,点击start simulateion后,出现Objects空白的问题,导致执行 add wave al l时出现“# (vish-4014) No objects found matching ‘/top_tb/*’。“的报错选项。尽管输入run后,可以运行仿真,但却无法察看wave窗口的波形。

这是优化的问题。

解决方法:

1. 点击工具栏中的“simulate”按钮,调出start smulaTIon窗口,把窗口中最下边opTImizaTIon栏中的Enable opTImization项目前的钩钩去掉,然后选择仿真的文件,点击OK,就一切正常了,能看到objects了。

Quatus ii联合modelsim仿真无法产生波形或波形一直为Hiz状态原因分析

最近用用modelsim仿真Quatus写的testbench,遇到了波形无法产生的问题,一直卡在这里很久都没找到原因,经过一番分析和各种乱试,终于找到原因了。

在设置编译的test bench的时候,一定要把顶层模块设置为xx_vlg_tst(),就是启动test bench template writer的时候,软件给你自动产生的那个test bench的模块名。因为在仿真的时候,这个模块才是真正的顶层模块,是它实例化测试模块,并给与测试模块激励信号的。设置方法如下:

点击主界面菜单栏的Assignment——》Settings,出现Settings对话框,然后在Category栏选择EDA Tool Settings——》Sim

  • 3
    点赞
  • 37
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值